tlm_init106 发表于 2018-9-16 20:11:30

【Modelsim】 出不来波形界面就卡主了,无任何报错提示

win10 系统 安装Quartus13.1调用Modelsim10.d(ALTERA STARTER EDITION )
总是停在最后

admin 发表于 2018-9-18 13:17:58

这种情况考虑是不是你的代码有问题,否则为啥连任何错误都没报告。可以考虑看下代码,代码写错了有时候会出现这种情况

tlm_init106 发表于 2018-9-18 22:43:49

module Decoder3_8(
        A,
        y
);
        input                 A;
       
        output         y;
       
        reg                 y_reg;
        always @ (*)begin
                case(A)
                        3'b000: y_reg = 8'b1111_1110;
                        3'b001: y_reg = 8'b1111_1101;
                        3'b010: y_reg = 8'b1111_1011;
                        3'b011: y_reg = 8'b1111_0111;
                        3'b100: y_reg = 8'b1110_1111;
                        3'b101: y_reg = 8'b1101_1111;
                        3'b110: y_reg = 8'b1011_1111;
                        3'b111: y_reg = 8'b1111_1111;
                        3'bxxx: y_reg = 8'b1111_1111;
                        default: y_reg = 8'b1111_1111;
                endcase
               
        end
       
        assign y = y_reg;
       
endmodule

`timescale 1ns/1ns
`define clock_period 20

module Decoder3_8_tb;

        //reg---in
        reg                                 A;
       
        //wire--out
        wire                                 y;
       
        //instance
        Decoder3_8 Decoder3_8_instance
        (
                .A(A),          //括号里面为上面定义的变量
                .y(y)
        );
       
        initial begin
                A = 3'b000;
                #(20*10);
               
                A = 3'b001;
                #(20*10);
               
                A = 3'b010;
                #(20*10);
               
                A = 3'b011;
                #(20*10);
               
                A = 3'b100;
                #(20*10);
               
                A = 3'b101;
                #(20*10);
               
                A = 3'b110;
                #(20*10);       
               
                A = 3'b111;
                #(20*10);
               
                #(20*100);
                $stop;
       
        end
       
endmodule

asuyyy 发表于 2018-9-19 08:12:04

tlm_init106 发表于 2018-9-18 22:43
module Decoder3_8(
        A,
        y


程序大致看了一下,没有一眼发现不对的地方,
考虑是设置的问题。在项目顶层右键settings,找到EDA Tool Settings下面的Simulation
看看里面的设置是否正确。
页: [1]
查看完整版本: 【Modelsim】 出不来波形界面就卡主了,无任何报错提示