admin 发表于 2019-6-12 22:14:43

【10028】: Can't resolve multiple constant dri...

Error (10028): Can't resolve multiple constant drivers for net "xxx" at aaa.v(123)




这个报错,其实非常简单,直接翻译过来就懂了,啥意思呢?说是一个寄存器在多个always块中被赋值。比如:

module exp1(
      a,
      b,
      en1,
      en2,
      o
);
      
      reg ra;
      wire en1,en2;
      
      always@(posedge Clk)
      if(en1)
                ra <= a;
               
      always@(posedge Clk)
      if(en2)
                ra <= b;      
               
      assign o = ra;

endmodule




写代码之前必须搞清楚,每一段always对应最终输出都是一个寄存器,这是一个寄存器,一个寄存器只有一个数据输入端口,多个always里面对同一个寄存器赋值,那么到底让这个寄存器听谁的?所以,这种写法实际电路是根本无法实现的,因此软件就会编译报错。




遇到这种问题,查找起来非常简单,quartus软件有双击代码高亮的功能,直接双击该信号,然后上下翻看,找到每一个对该寄存器赋值的位置,查看是否在同一个always中,如果不是,需要修改代码将多个地方的合并到一个always中。




还是那句话,做FPGA设计,电路概念很重要,不能做语法党。


页: [1]
查看完整版本: 【10028】: Can't resolve multiple constant dri...