admin 发表于 2020-2-24 20:04:28

【21074】 Design contains 3 input pin(S) that do not drive logic




【Quartus报错】21074 Design contains 3 input pin(S) that do not drive logic




这种情况需要点击展开来看详细的报错信息,对于上述报错信息可以看到说的是clk、rst_n信号都没有驱动任何逻辑。但是往往作者都能明确的指出代码中确实有用到了该信号的地方,但是为啥还说没有驱动任何逻辑呢?


这种情况就需要这么来分析了:


首先来说,出现这个问题的原因,分为两种情况
第一种情况就是某个信号你写在了端口列表里,但是确实用不上或者暂时用不到,这样的情况下要根据自己的设计分析,如果确认了是一个不需要用到的信号,就人为主观忽略该报错即可。
第二种情况则是设计问题,因为你设计的逻辑根本无法实现你预想的功能,软件分析之后就给你舍弃了,也就是常说的设计被优化了。什么意思呢,举个例子:
假如你做一个最简单的计数器定时点灯实验。想让计数器计数到100了点亮led灯,但是你写的时候考虑不周全,计数器只定义了4位,所以计数器最大只能计数到15。你觉得你能点亮灯吗?软件很聪明,在编译的时候发现你写的这个计数器计数到100就点灯的过程因为计数器不可能计数到100,永久无法实现,所以这段点灯的逻辑就不要了。然后呢,因为这段逻辑不要了,所以计数器计数了也没啥意义,就把计数器也不要了。最终的结果就是时钟输入进来,因为计数器都没了,所以就啥都没干。就报这个时钟没有驱动任何逻辑的警告了。



sptdfesto 发表于 2020-2-25 10:40:03

谢谢博主的无私分享 FPGA 开发 值得学习

兰因絮果. 发表于 2020-6-29 12:51:51

那应该怎么改啊?????????我改了数值还是出不来结果呀呜呜呜
页: [1]
查看完整版本: 【21074】 Design contains 3 input pin(S) that do not drive logic