挣钱买刀 发表于 2021-1-5 21:17:21

【Vivado常见问题】IP 如何进行例化

在IP生成完成后可以在PROJECT MANAGE下的IP source窗口中找到对应的IP
点击IP左边的下拉框,然后找到Instantiation Template并点开左边下拉框,.veo的文件就是verilog代码的例化模板

可以双击.veo文件打开里化模板代码,可选择相关代码进行复制,然后在需要例化的文件中进行粘贴,然后根据实际情况对端口连接进行修改。


页: [1]
查看完整版本: 【Vivado常见问题】IP 如何进行例化