挣钱买刀 发表于 2021-1-26 22:40:06

【Vivado常见问题】自带仿真工具如何查看之前跑的仿真波形

在使用Vivado自带仿真工具进行完仿真后,如何进行保存可以方便下次直接打开查看波形呢?涉及到的文件1. 波形数据库文件(.WDB),其中包含所有的仿真数据。2. 波形配置文件(.WCFG),其中包含于波形配置文件中的对象相关联的顺序和设置
如何保存这两个文件1.波形数据库文件(.WDB):仿真完,工具会自动保存,保存的路径会根据你进行不同仿真类型会有差异,如果是Run Behavioral Simulation仿真,那么波形数据文件保存的路径为“工程目录下\工程名称.sim\sim_1\behav\xsim\”,文件为“仿真顶层名_behav.wdb”如果是Run Post-Synthesis Simulation仿真,那么波形数据文件保存的路径为“工程目录下\工程名称.sim\sim_1\synth\func\xsim\”,文件为“仿真顶层名_func_synth.wdb”其他类型仿真保存的路劲类似,不一一列举需要注意的是,一次仿真对应一个.WDB文件,每次仿真都会自动覆盖掉前一次的.WDB文件,因为.WDB文件自动保存的名称是一样的。如果想保存多次仿真的.WDB文件,在仿真完成后到.WDB文件目录下将.WDB文件重命名,这样下一次仿真就不会覆盖这个.WDB文件。
2.波形配置文件(.WCFG):仿真完后,直接点击保存,如下图所示,然后选择路径进行保存主要注意的是,一次仿真可以有多个.WCFG文件,可以根据不同的信号搭配保存命名为不同的.WCFG,在后面的使用上也可以根据实际需要打开不同的.WCFG文件
如何使用这两个文件(查看之前仿真波形,按先步骤1,再步骤2的顺序操作即可)1.波形数据库文件(.WDB)点击Vivado的菜单栏中的 Flow -> Open Static simulation,然后选中之前保存的 .wdb 文件即可。2. 波形配置文件(.WCFG)点击Vivado的菜单栏中的 File -> Simulation WaveformOpen -> Open Configuration,选择我们之前保存的 .wcfg文件即可恢复上一次的仿真结果

商震 发表于 2021-2-21 09:16:02

补充一下,打开wdb文件,有时候只有信号名列表而没有波形,有时候既有信号名列表,又有波形。当只有信号名列表,出现波形白板的情况时,需按文件使用方法2打开波形配置文件,接下来就可以看到波形了。

NZZ 发表于 2022-2-23 09:58:41

请问楼主,这样子打开的波形数据是不是无法重新仿真?(我需要的是这个波形里的信号分组)
页: [1]
查看完整版本: 【Vivado常见问题】自带仿真工具如何查看之前跑的仿真波形