商震 发表于 2021-8-16 10:38:36

Vivado开发工具中时钟信号的原语IBUFG的使用

使用IBUFG原语,可以提高输入时钟信号的驱动能力,可以改善信号在fpga中传输的时序。简单来说,通过该原语,可以获得一个更加稳定可靠的时钟信号,特别是在FPGA输入时钟引脚,需要应用于多扇出、高速数据传输和处理等场合。
例如:差分时钟芯片输入的100MHz时钟,作为FPGA的全局时钟:
IBUFGDS #(
.DIFF_TERM ("TRUE" ),
.IBUF_LOW_PWR ("FALSE")
) u_ibufg_clk_100 (
.I (FP_CLK_100M_P),
.IB (FP_CLK_100M_N),
.O (clk_100m )
);

页: [1]
查看完整版本: Vivado开发工具中时钟信号的原语IBUFG的使用