商震 发表于 2022-1-4 11:48:00

【产品资料】【FPGA开发板】ACC2361核心板暨AC108开发板资料合集Cyclone10LP 10CL025YU256I7G芯片



产品介绍

ACC2361核心板

ACC2361核心板为武汉芯路恒科技有限公司基于Intel Cyclone 10 LP系列的FPGA开发的一款低成本邮票孔FPGA核心板。核心板使用Intel Cyclone 10 LP系列FPGA,具体型号为10CL025YU256I7G,工业级温度范围,适合工业和商业客户直接集成到自己的系统中使用。


AC108开发板

AC108开发板上基于ACC2361核心板设计的一款FPGA开发板,开发板上集成了HDMI、千兆以太网,I2S音频编解码器,数码管,i2c、摄像头接口等众多功能。方便客户对ACC2361核心板进行快速功能评估和产品原型开发。



核心板符号图




产品原理图
1、AC608_10LP核心板原理图(AC108开发板由该核心板加底板构成):
2、AC108开发板原理图(AC108开发板由该底板加AC608_10LP核心板构成):

PCB设计
为方便大家使用核心板快速设计自己的评估底板,这里上传了我们基于本核心板的评估板(AC108)的设计源文件,设计源文件使用Altium Designer软件设计,大家可以直接使用Altium Designer软件打开并进行二次开发。底板上的电路我们都是经过了长期实践验证的,大家可以放心使用。
评估板设计源文件,基于AD软件:







教材文档/用户手册
暂未发布,敬请期待

管脚信息表/图







设计实例

基础Verilog例程:

[*]


OV5640摄像头采集HDMI显示例程:

[*]
[*]


OV5640摄像头采集以太网传输PC显示例程:

[*]
[*]


资料5、ov5640_rgmii_udp_720p_1080p_and_tool内容为四个实验,分别为单目OV5640_hdmi_720P,单目OV5640以太网RGMII的720P,双目O5640以太网RGMII的720P,以及单目OV5640的以太网rgmii的1080P网口摄像头显示程序及其配套显示工具。(附资料包:ov5640_rgmii_udp_720p_1080p_and_tool)



常见问题

问:开发软件使用哪个版本
答:我们所有案例都是使用Quartus prime standard 17.1版本开发,推荐使用和我们一样的版本。


问:开发软件从哪里下载
答:如果使用Quartus prime standard 17.1版本,可以到下述链接下载,我们里面已经提供好了对应的License和谐工具。其他版本请自行想办法。
【软件工具合集2】各种各厂家FPGA开发软件下载地址
http://www.corecourse.cn/forum.php?mod=viewthread&tid=28768

问:核心板供电需要多少V,多少A
答:核心板供电电压需要为3.24~3.36V,持续供电电流不低于500mA,有条件的情况下尽量不低于1A。推荐使用DCDC供电方案

问:我使用Cadence设计,请问能否提供Cadence软件的核心板原理图和PCB封装
答:可以联系淘宝客服索取AD、Cadence、立创EDA的原理图和PCB封装文件。



1、 Quartus18.1全编译报错:EDA Netlist Writer was unsuccessful
http://www.corecourse.cn/forum.php?mod=viewthread&tid=28677

2、ov5640摄像头千兆以太网工程从Xilinx移植到Altera屏幕颜色异常
http://www.corecourse.cn/forum.php?mod=viewthread&tid=28685

3、以太网1080P摄像头工程如何在计算机上显示?
以太网1080P摄像头需使用小梅哥UDP摄像头V3.2版本工具进行显示,这个版本比以前的版本增加了1920X1080P的分辨率显示范围。由于软件边框导致图像压缩无法在1080P显示器满画幅显示,所以使用该软件会有紫色栅格的杂色。另外我们还提供了ETH_Display工具,可以优化显示的紫色栅格杂色。更详细说明可以参考论坛内帖子:以太网图像传输PC显示案例上位机软件显示紫色网格原因

4、以太网图像传输PC显示案例上位机软件显示紫色网格原因
http://www.corecourse.cn/forum.php?mod=viewthread&tid=28688

5、FPGA逻辑工程涉及以太网的实验配置要点及注意事项
http://www.corecourse.cn/forum.php?mod=viewthread&tid=28565


技术支持服务
如果您计划基于本公司核心板开发自己的产品或项目,可联系我们获取专属技术支持。我们可为您提供软硬件参考设计,调试经验,技术答疑,以及商务批量采购报价等服务。确保您能以最快的速度使用上该核心板。

技术支持获取方法:
1.      搜索微信公众号“小梅哥电子”关注并进入(也可扫描图中二维码)。
2.      给公众号发送任意消息,即可与我们的客户服务经理在线联系。
3.      客户经理会根据您描述的具体需求,为您转接到我们负责该技术领域的同事




admin 发表于 2023-6-24 20:46:53

本楼提供了AC108 FPGA开发板的管脚信息,先是提供了管脚信息表,然后提供了tcl格式的管脚约束语句。方便大家使用。


接口名称外设端口FPGA管脚备注# 约束语句
系统时钟50MHzClkPIN_E15set_location_assignment PIN_E15 -to Clk
# LED灯管脚约束
LED灯LEDPIN_N16set_location_assignment PIN_N16 -to LED
LEDPIN_N15set_location_assignment PIN_N15 -to LED

按键KeyPIN_M15set_location_assignment PIN_M15 -to Key
KeyPIN_T8set_location_assignment PIN_T8 -to Key
KeyPIN_R9作为复位按键set_location_assignment PIN_R9 -to Key
# 开关管脚约束
开关SWPIN_M16set_location_assignment PIN_M16 -to SW
SWPIN_E16set_location_assignment PIN_E16 -to SW
SWPIN_A9set_location_assignment PIN_A9 -to SW
SWPIN_B9set_location_assignment PIN_B9 -to SW
SWPIN_A8set_location_assignment PIN_A8 -to SW
SWPIN_B8set_location_assignment PIN_B8 -to SW
SWPIN_E1set_location_assignment PIN_E1 -to SW
SWPIN_M1set_location_assignment PIN_M1 -to SW
# 串口收发管脚约束
串口收发UART_RXDPIN_F13set_location_assignment PIN_F13 -to UART_RXD
UART_TXDPIN_F8set_location_assignment PIN_F8 -to UART_TXD
# 红外遥控管脚约束
红外遥控IRPIN_R8set_location_assignment PIN_R8 -to IR
# 无源蜂鸣器管脚约束
无源蜂鸣器BEEPPIN_G16set_location_assignment PIN_G16 -to BEEP
# I2C接口管脚约束
I2C接口i2c_sclkPIN_R7set_location_assignment PIN_R7 -to i2c_sclk
i2c_sdatPIN_T7set_location_assignment PIN_T7 -to i2c_sdat
# SD卡(4线SPI)管脚约束
SD卡(4线SPI)SD_CLKPIN_E11set_location_assignment PIN_E11 -to SD_CLK
SD_MISOPIN_C9set_location_assignment PIN_C9 -to SD_MISO
SD_MOSIPIN_F9set_location_assignment PIN_F9 -to SD_MOSI
SD_SCPIN_F14set_location_assignment PIN_F14 -to SD_SC
# 74HC595驱动数码管管脚约束
74HC595驱动数码管DSPIN_J13SEG7_DIOset_location_assignment PIN_J13 -to DS
SH_CPPIN_C15SEG7_SCLKset_location_assignment PIN_C15 -to SH_CP
ST_CPPIN_B16SEG7_RCLKset_location_assignment PIN_B16 -to ST_CP
# SDRAM管脚约束
SDRAMsdram_addrPIN_A3set_location_assignment PIN_A3 -to sdram_addr
sdram_addrPIN_C3set_location_assignment PIN_C3 -to sdram_addr
sdram_addrPIN_D3set_location_assignment PIN_D3 -to sdram_addr
sdram_addrPIN_B4set_location_assignment PIN_B4 -to sdram_addr
sdram_addrPIN_B3set_location_assignment PIN_B3 -to sdram_addr
sdram_addrPIN_A2set_location_assignment PIN_A2 -to sdram_addr
sdram_addrPIN_A4set_location_assignment PIN_A4 -to sdram_addr
sdram_addrPIN_D6set_location_assignment PIN_D6 -to sdram_addr
sdram_addrPIN_A5set_location_assignment PIN_A5 -to sdram_addr
sdram_addrPIN_E6set_location_assignment PIN_E6 -to sdram_addr
sdram_addrPIN_E7set_location_assignment PIN_E7 -to sdram_addr
sdram_addrPIN_A6set_location_assignment PIN_A6 -to sdram_addr
sdram_addrPIN_C6set_location_assignment PIN_C6 -to sdram_addr
sdram_baPIN_B7set_location_assignment PIN_B7 -to sdram_ba
sdram_baPIN_B5set_location_assignment PIN_B5 -to sdram_ba
sdram_cas_nPIN_D8set_location_assignment PIN_D8 -to sdram_cas_n
sdram_clkPIN_A7set_location_assignment PIN_A7 -to sdram_clk
sdram_cs_nPIN_B6set_location_assignment PIN_B6 -to sdram_cs_n
sdram_dqPIN_D14set_location_assignment PIN_D14 -to sdram_dq
sdram_dqPIN_C14set_location_assignment PIN_C14 -to sdram_dq
sdram_dqPIN_D12set_location_assignment PIN_D12 -to sdram_dq
sdram_dqPIN_B13set_location_assignment PIN_B13 -to sdram_dq
sdram_dqPIN_E10set_location_assignment PIN_E10 -to sdram_dq
sdram_dqPIN_B12set_location_assignment PIN_B12 -to sdram_dq
sdram_dqPIN_D11set_location_assignment PIN_D11 -to sdram_dq
sdram_dqPIN_C11set_location_assignment PIN_C11 -to sdram_dq
sdram_dqPIN_B10set_location_assignment PIN_B10 -to sdram_dq
sdram_dqPIN_A11set_location_assignment PIN_A11 -to sdram_dq
sdram_dqPIN_B11set_location_assignment PIN_B11 -to sdram_dq
sdram_dqPIN_A12set_location_assignment PIN_A12 -to sdram_dq
sdram_dqPIN_A13set_location_assignment PIN_A13 -to sdram_dq
sdram_dqPIN_A14set_location_assignment PIN_A14 -to sdram_dq
sdram_dqPIN_B14set_location_assignment PIN_B14 -to sdram_dq
sdram_dqPIN_A15set_location_assignment PIN_A15 -to sdram_dq
sdram_dqmPIN_E9set_location_assignment PIN_E9 -to sdram_dqm
sdram_dqmPIN_A10set_location_assignment PIN_A10 -to sdram_dqm
sdram_ras_nPIN_C8set_location_assignment PIN_C8 -to sdram_ras_n
sdram_we_nPIN_E8set_location_assignment PIN_E8 -to sdram_we_n
# HDMI管脚约束
HDMItmds_clk_nPIN_R3set_location_assignment PIN_R3 -to tmds_clk_n
tmds_clk_pPIN_T3set_location_assignment PIN_T3 -to tmds_clk_p
tmds_data_nPIN_R4set_location_assignment PIN_R4 -to tmds_data_n
tmds_data_nPIN_R5set_location_assignment PIN_R5 -to tmds_data_n
tmds_data_nPIN_R6set_location_assignment PIN_R6 -to tmds_data_n
tmds_data_pPIN_T4set_location_assignment PIN_T4 -to tmds_data_p
tmds_data_pPIN_T5set_location_assignment PIN_T5 -to tmds_data_p
tmds_data_pPIN_T6set_location_assignment PIN_T6 -to tmds_data_p
# 以太网管脚约束
以太网eth_mdcPIN_P15set_location_assignment PIN_P15 -to eth_mdc
eth_mdioPIN_R16set_location_assignment PIN_R16 -to eth_mdio
eth_rst_nPIN_P16set_location_assignment PIN_P16 -to eth_rst_n
eth_rxcPIN_T9set_location_assignment PIN_T9 -to eth_rxc
eth_rxdPIN_R12set_location_assignment PIN_R12 -to eth_rxd
eth_rxdPIN_T11set_location_assignment PIN_T11 -to eth_rxd
eth_rxdPIN_R11set_location_assignment PIN_R11 -to eth_rxd
eth_rxdPIN_T10set_location_assignment PIN_T10 -to eth_rxd
eth_rxdvPIN_R10set_location_assignment PIN_R10 -to eth_rxdv
eth_gtxcPIN_T12set_location_assignment PIN_T12 -to eth_gtxc
eth_txdPIN_T15set_location_assignment PIN_T15 -to eth_txd
eth_txdPIN_T14set_location_assignment PIN_T14 -to eth_txd
eth_txdPIN_R14set_location_assignment PIN_R14 -to eth_txd
eth_txdPIN_T13set_location_assignment PIN_T13 -to eth_txd
eth_txenPIN_R13set_location_assignment PIN_R13 -to eth_txen
# GPIO0通用扩展接口管脚约束
GPIO0通用扩展接口GPIO0_0PIN_T2set_location_assignment PIN_T2 -to GPIO0_0
GPIO0_1PIN_R1set_location_assignment PIN_R1 -to GPIO0_1
GPIO0_2PIN_P2set_location_assignment PIN_P2 -to GPIO0_2
GPIO0_3PIN_P1set_location_assignment PIN_P1 -to GPIO0_3
GPIO0_4PIN_N2set_location_assignment PIN_N2 -to GPIO0_4
GPIO0_5PIN_N1set_location_assignment PIN_N1 -to GPIO0_5
GPIO0_6PIN_L2set_location_assignment PIN_L2 -to GPIO0_6
GPIO0_7PIN_L1set_location_assignment PIN_L1 -to GPIO0_7
GPIO0_8PIN_K2set_location_assignment PIN_K2 -to GPIO0_8
GPIO0_9PIN_K1set_location_assignment PIN_K1 -to GPIO0_9
5V
GND
GPIO0_10PIN_J2set_location_assignment PIN_J2 -to GPIO0_10
GPIO0_11PIN_J1set_location_assignment PIN_J1 -to GPIO0_11
GPIO0_12PIN_M10set_location_assignment PIN_M10 -to GPIO0_12
GPIO0_13PIN_P9set_location_assignment PIN_P9 -to GPIO0_13
GPIO0_14PIN_N9set_location_assignment PIN_N9 -to GPIO0_14
GPIO0_15PIN_L8set_location_assignment PIN_L8 -to GPIO0_15
GPIO0_16PIN_N8set_location_assignment PIN_N8 -to GPIO0_16
GPIO0_17PIN_P8set_location_assignment PIN_P8 -to GPIO0_17
GPIO0_18PIN_M8set_location_assignment PIN_M8 -to GPIO0_18
GPIO0_19PIN_M7set_location_assignment PIN_M7 -to GPIO0_19
GPIO0_20PIN_P6set_location_assignment PIN_P6 -to GPIO0_20
GPIO0_21PIN_N6set_location_assignment PIN_N6 -to GPIO0_21
GPIO0_22PIN_L7set_location_assignment PIN_L7 -to GPIO0_22
GPIO0_23PIN_P3set_location_assignment PIN_P3 -to GPIO0_23
GPIO0_24PIN_N5set_location_assignment PIN_N5 -to GPIO0_24
GPIO0_25PIN_N3set_location_assignment PIN_N3 -to GPIO0_25
3V3
GND
GPIO0_26PIN_M6set_location_assignment PIN_M6 -to GPIO0_26
GPIO0_27PIN_L4set_location_assignment PIN_L4 -to GPIO0_27
GPIO0_28PIN_K5set_location_assignment PIN_K5 -to GPIO0_28
GPIO0_29PIN_G1set_location_assignment PIN_G1 -to GPIO0_29
GPIO0_30PIN_G2set_location_assignment PIN_G2 -to GPIO0_30
GPIO0_31PIN_F3set_location_assignment PIN_F3 -to GPIO0_31
GPIO0_32PIN_D1set_location_assignment PIN_D1 -to GPIO0_32
GPIO0_33PIN_C2set_location_assignment PIN_C2 -to GPIO0_33
GPIO0_34PIN_B1set_location_assignment PIN_B1 -to GPIO0_34
GPIO0_35PIN_D9set_location_assignment PIN_D9 -to GPIO0_35
# CMOS摄像头接口管脚约束
CMOS摄像头接口CMOS_DPIN_D15set_location_assignment PIN_D15 -to CMOS_D
CMOS_DPIN_C16set_location_assignment PIN_C16 -to CMOS_D
CMOS_DPIN_F15set_location_assignment PIN_F15 -to CMOS_D
CMOS_DPIN_D16set_location_assignment PIN_D16 -to CMOS_D
CMOS_DPIN_F16set_location_assignment PIN_F16 -to CMOS_D
CMOS_DPIN_G15set_location_assignment PIN_G15 -to CMOS_D
CMOS_DPIN_J14set_location_assignment PIN_J14 -to CMOS_D
CMOS_DPIN_K15set_location_assignment PIN_K15 -to CMOS_D
CMOS_HREFPIN_K16set_location_assignment PIN_K16 -to CMOS_HREF
CMOS_PCLKPIN_L14set_location_assignment PIN_L14 -to CMOS_PCLK
CMOS_SCLKPIN_L13set_location_assignment PIN_L13 -to CMOS_SCLK
CMOS_SDATPIN_N14set_location_assignment PIN_N14 -to CMOS_SDAT
CMOS_VSYNCPIN_J15set_location_assignment PIN_J15 -to CMOS_VSYNC
CMOS_XCLKPIN_J16set_location_assignment PIN_J16 -to CMOS_XCLK
# I2S音频接口管脚约束
I2S音频接口I2S_ADCDATPIN_P14set_location_assignment PIN_P14 -to I2S_ADCDAT
I2S_ADCLRCPIN_N12set_location_assignment PIN_N12 -to I2S_ADCLRC
I2S_BCLKPIN_L16set_location_assignment PIN_L16 -to I2S_BCLK
I2S_DACDATPIN_N11set_location_assignment PIN_N11 -to I2S_DACDAT
I2S_DACLRCPIN_P11set_location_assignment PIN_P11 -to I2S_DACLRC
I2S_MCLKPIN_L15set_location_assignment PIN_L15 -to I2S_MCLK





# 系统时钟,50MHz
set_location_assignment PIN_E15 -to Clk
# LED灯管脚约束
set_location_assignment PIN_N16 -to LED
set_location_assignment PIN_N15 -to LED

set_location_assignment PIN_M15 -to Key
set_location_assignment PIN_T8 -to Key
set_location_assignment PIN_R9 -to Key
# 开关管脚约束
set_location_assignment PIN_M16 -to SW
set_location_assignment PIN_E16 -to SW
set_location_assignment PIN_A9 -to SW
set_location_assignment PIN_B9 -to SW
set_location_assignment PIN_A8 -to SW
set_location_assignment PIN_B8 -to SW
set_location_assignment PIN_E1 -to SW
set_location_assignment PIN_M1 -to SW
# 串口收发管脚约束
set_location_assignment PIN_F13 -to UART_RXD
set_location_assignment PIN_F8 -to UART_TXD
# 红外遥控管脚约束
set_location_assignment PIN_R8 -to IR
# 无源蜂鸣器管脚约束
set_location_assignment PIN_G16 -to BEEP
# I2C接口管脚约束
set_location_assignment PIN_R7 -to i2c_sclk
set_location_assignment PIN_T7 -to i2c_sdat
# SD卡(4线SPI)管脚约束
set_location_assignment PIN_E11 -to SD_CLK
set_location_assignment PIN_C9 -to SD_MISO
set_location_assignment PIN_F9 -to SD_MOSI
set_location_assignment PIN_F14 -to SD_SC
# 74HC595驱动数码管管脚约束
set_location_assignment PIN_J13 -to DS
set_location_assignment PIN_C15 -to SH_CP
set_location_assignment PIN_B16 -to ST_CP
# SDRAM管脚约束
set_location_assignment PIN_A3 -to sdram_addr
set_location_assignment PIN_C3 -to sdram_addr
set_location_assignment PIN_D3 -to sdram_addr
set_location_assignment PIN_B4 -to sdram_addr
set_location_assignment PIN_B3 -to sdram_addr
set_location_assignment PIN_A2 -to sdram_addr
set_location_assignment PIN_A4 -to sdram_addr
set_location_assignment PIN_D6 -to sdram_addr
set_location_assignment PIN_A5 -to sdram_addr
set_location_assignment PIN_E6 -to sdram_addr
set_location_assignment PIN_E7 -to sdram_addr
set_location_assignment PIN_A6 -to sdram_addr
set_location_assignment PIN_C6 -to sdram_addr
set_location_assignment PIN_B7 -to sdram_ba
set_location_assignment PIN_B5 -to sdram_ba
set_location_assignment PIN_D8 -to sdram_cas_n
set_location_assignment PIN_A7 -to sdram_clk
set_location_assignment PIN_B6 -to sdram_cs_n
set_location_assignment PIN_D14 -to sdram_dq
set_location_assignment PIN_C14 -to sdram_dq
set_location_assignment PIN_D12 -to sdram_dq
set_location_assignment PIN_B13 -to sdram_dq
set_location_assignment PIN_E10 -to sdram_dq
set_location_assignment PIN_B12 -to sdram_dq
set_location_assignment PIN_D11 -to sdram_dq
set_location_assignment PIN_C11 -to sdram_dq
set_location_assignment PIN_B10 -to sdram_dq
set_location_assignment PIN_A11 -to sdram_dq
set_location_assignment PIN_B11 -to sdram_dq
set_location_assignment PIN_A12 -to sdram_dq
set_location_assignment PIN_A13 -to sdram_dq
set_location_assignment PIN_A14 -to sdram_dq
set_location_assignment PIN_B14 -to sdram_dq
set_location_assignment PIN_A15 -to sdram_dq
set_location_assignment PIN_E9 -to sdram_dqm
set_location_assignment PIN_A10 -to sdram_dqm
set_location_assignment PIN_C8 -to sdram_ras_n
set_location_assignment PIN_E8 -to sdram_we_n
# HDMI管脚约束
set_location_assignment PIN_R3 -to tmds_clk_n
set_location_assignment PIN_T3 -to tmds_clk_p
set_location_assignment PIN_R4 -to tmds_data_n
set_location_assignment PIN_R5 -to tmds_data_n
set_location_assignment PIN_R6 -to tmds_data_n
set_location_assignment PIN_T4 -to tmds_data_p
set_location_assignment PIN_T5 -to tmds_data_p
set_location_assignment PIN_T6 -to tmds_data_p
# 以太网管脚约束
set_location_assignment PIN_P15 -to eth_mdc
set_location_assignment PIN_R16 -to eth_mdio
set_location_assignment PIN_P16 -to eth_rst_n
set_location_assignment PIN_T9 -to eth_rxc
set_location_assignment PIN_R12 -to eth_rxd
set_location_assignment PIN_T11 -to eth_rxd
set_location_assignment PIN_R11 -to eth_rxd
set_location_assignment PIN_T10 -to eth_rxd
set_location_assignment PIN_R10 -to eth_rxdv
set_location_assignment PIN_T12 -to eth_gtxc
set_location_assignment PIN_T15 -to eth_txd
set_location_assignment PIN_T14 -to eth_txd
set_location_assignment PIN_R14 -to eth_txd
set_location_assignment PIN_T13 -to eth_txd
set_location_assignment PIN_R13 -to eth_txen
# GPIO0通用扩展接口管脚约束
set_location_assignment PIN_T2 -to GPIO0_0
set_location_assignment PIN_R1 -to GPIO0_1
set_location_assignment PIN_P2 -to GPIO0_2
set_location_assignment PIN_P1 -to GPIO0_3
set_location_assignment PIN_N2 -to GPIO0_4
set_location_assignment PIN_N1 -to GPIO0_5
set_location_assignment PIN_L2 -to GPIO0_6
set_location_assignment PIN_L1 -to GPIO0_7
set_location_assignment PIN_K2 -to GPIO0_8
set_location_assignment PIN_K1 -to GPIO0_9


set_location_assignment PIN_J2 -to GPIO0_10
set_location_assignment PIN_J1 -to GPIO0_11
set_location_assignment PIN_M10 -to GPIO0_12
set_location_assignment PIN_P9 -to GPIO0_13
set_location_assignment PIN_N9 -to GPIO0_14
set_location_assignment PIN_L8 -to GPIO0_15
set_location_assignment PIN_N8 -to GPIO0_16
set_location_assignment PIN_P8 -to GPIO0_17
set_location_assignment PIN_M8 -to GPIO0_18
set_location_assignment PIN_M7 -to GPIO0_19
set_location_assignment PIN_P6 -to GPIO0_20
set_location_assignment PIN_N6 -to GPIO0_21
set_location_assignment PIN_L7 -to GPIO0_22
set_location_assignment PIN_P3 -to GPIO0_23
set_location_assignment PIN_N5 -to GPIO0_24
set_location_assignment PIN_N3 -to GPIO0_25


set_location_assignment PIN_M6 -to GPIO0_26
set_location_assignment PIN_L4 -to GPIO0_27
set_location_assignment PIN_K5 -to GPIO0_28
set_location_assignment PIN_G1 -to GPIO0_29
set_location_assignment PIN_G2 -to GPIO0_30
set_location_assignment PIN_F3 -to GPIO0_31
set_location_assignment PIN_D1 -to GPIO0_32
set_location_assignment PIN_C2 -to GPIO0_33
set_location_assignment PIN_B1 -to GPIO0_34
set_location_assignment PIN_D9 -to GPIO0_35
# CMOS摄像头接口管脚约束
set_location_assignment PIN_D15 -to CMOS_D
set_location_assignment PIN_C16 -to CMOS_D
set_location_assignment PIN_F15 -to CMOS_D
set_location_assignment PIN_D16 -to CMOS_D
set_location_assignment PIN_F16 -to CMOS_D
set_location_assignment PIN_G15 -to CMOS_D
set_location_assignment PIN_J14 -to CMOS_D
set_location_assignment PIN_K15 -to CMOS_D
set_location_assignment PIN_K16 -to CMOS_HREF
set_location_assignment PIN_L14 -to CMOS_PCLK
set_location_assignment PIN_L13 -to CMOS_SCLK
set_location_assignment PIN_N14 -to CMOS_SDAT
set_location_assignment PIN_J15 -to CMOS_VSYNC
set_location_assignment PIN_J16 -to CMOS_XCLK
# I2S音频接口管脚约束
set_location_assignment PIN_P14 -to I2S_ADCDAT
set_location_assignment PIN_N12 -to I2S_ADCLRC
set_location_assignment PIN_L16 -to I2S_BCLK
set_location_assignment PIN_N11 -to I2S_DACDAT
set_location_assignment PIN_P11 -to I2S_DACLRC
set_location_assignment PIN_L15 -to I2S_MCLK


页: [1]
查看完整版本: 【产品资料】【FPGA开发板】ACC2361核心板暨AC108开发板资料合集Cyclone10LP 10CL025YU256I7G芯片