商震 发表于 2022-3-15 09:56:47

【ACX720】ACX720型Xilinx FPGA开发板用户自助服务手册

本帖为小梅哥ACX720 FPGA开发板用户自助服务手册,各种常见问题,都在本帖内汇总提供了。如果有本帖没有收录的问题,欢迎大家在本帖下留言,我们会随时补充完善。本论坛支持使用微信一键注册和登录。欢迎您的加入。


1、【资料下载】ACX720 开发板全部资料(文档、例程、开发软件、视频课程、硬件图纸等)下载地址:
->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=28465
----------------------------------------------------
2、【新手学习指导】如何进行学习,学习方法,资料学习顺序,学习遇到问题怎么办
->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=28723
----------------------------------------------------
3、【开发板测试方法和常见故障】开发板入手测试常见问题说明:
->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=29165
----------------------------------------------------
4、【Vivado软件下载】Vivado软件哪里下载?答案就在问题1的链接里,资料盘的B盘就是Vivado软件的下载链接
----------------------------------------------------
5、【小软件工具下载】教程中所用各种软件和小工具下载地址:
->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=28374
----------------------------------------------------
6、【下载器驱动安装】Xilinx 开发板下载器驱动安装教程:
->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=29107
----------------------------------------------------
7、【串口驱动安装】CH340 USB转串口芯片驱动安装说明:
->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=27739
----------------------------------------------------
8、Vivado使用相关问题汇总:
->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=29078
----------------------------------------------------



实验答疑

1、【数码管学习、实验和使用】ACX720开发板数码管上电显示乱码的原理与解释:
->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=28480
----------------------------------------------------
2、【串口学习、实验和使用】ACX720开发板串口学习、实验和使用常见问题:
->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=28672
----------------------------------------------------
3、【程序烧录和擦除】VIVADO软件bit文件和bin文件烧写方法:
->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=28732
----------------------------------------------------
4、【GPIO电平修改】【LVDS】修改GPIO0电压和使用差分电平标准(如LVDS):
->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=29167
----------------------------------------------------


实验案例

由于实验案例较多,所以我们专门做了个实验案例的合集帖用来罗列本网站上上传的基于ACX720 FPGA开发板的应用案例。

【ACX720】基于ACX720 FPGA开发板应用案例汇总帖
http://www.corecourse.cn/forum.php?mod=viewthread&tid=29077



更多本公司其他产品资料,请参看【资料合集】小梅哥所有FPGA开发板/扩展模块资料
http://www.corecourse.cn/forum.php?mod=viewthread&tid=27978

admin 发表于 2022-7-14 11:48:23


1、Vivado软件推荐安装什么版本?
答:Vivado2018.3,因为例程都是使用2018.3创建,而Vivado软件不同版本之间兼容性比较差,推荐使用我们创建工程所用的版本。另外,关于大家喜欢用新版软件的癖好,对于学习FPGa来说,基础功能没有任何差异,所以没必要用最新版本,最新版本主要是更新一些高级应用里面的功能,等你学会了FPGa,有能力独立解决问题时,再来换新版本也是很轻松的事情。反之,如果新手什么都还不太了解,又要接触最新版本软件,遇到问题后,求助问题时将无法得到及时有效的回复,所以,如果您使用我们的教程学习,请一定安装我们推荐的软件版本

2、摄像头模糊看不清?
答,我们配套的OV5640或者OV7725摄像头都是非定焦摄像头,其镜头是可以通过旋转的方式调节焦距,以适用观测不同距离的景物,您使用过程中如果发现摄像头拍摄的视野看不太清甚至非常模糊,看不出任何的规律,请先手动旋转摄像头的镜头,慢慢调节焦距,摄像头镜头的调节范围多大20多圈,可以在整个范围内多旋转,总能获得最佳的拍摄效果。

3、开发板工作时候发热正常吗?
开发板在工作中,会因为运行的程序的规模和频率,产生不同程度的发热,例如简单的LED点灯,则只有非常小的发热功耗,微热。而运行带DDR3的程序,例如摄像头、显示屏等案例,由于工作频率较高,而且使用了DDR3软核控制器,功耗就大多了,用手就能感觉到明显的发热。但是,不管怎样,整个板的发热情况一定是在人手触摸的情况下不会烫手的,一旦发现开发板或者上面的芯片发热到手指都不能停留时间超过2秒,则可能是相应芯片已经烧毁。需要检修。

4、数码管为啥自己亮起来,而且显示内容无规律?
该问题请参加下述帖子里面的详细解释:
AC620和ACX720开发板数码管上电显示乱码的原理与解释
http://www.corecourse.cn/forum.php?mod=viewthread&tid=28480

5、ACX720开发板使用的配置器件FLASH是什么型号?
ACX720开发板使用过两个型号的FLASH芯片,早期版本使用的是镁光的N25Q128(MT25Q128),现在使用的是MX25L12845G

6、FPGA型号
35T:XC7A35TFGG484-2
100T:XC7A100TFGG484-2
200T:XC7A200TFBG484-2(注意,有一个字符与前两个不同,200T的这个是FBG,前两个是FGG)


1、Vivado IP如何进行例化?
该问题请参考下述帖子里面的详细说明
【Vivado常见问题】IP 如何进行例化
http://www.corecourse.cn/forum.php?mod=viewthread&tid=28484

2、Vivado 如何查看仿真具体错误信息?
该问题请参考下述帖子里面的详细说明
【Vivado常见问题】如何查看仿真具体错误信息
http://www.corecourse.cn/forum.php?mod=viewthread&tid=28485

2、Vivado 如何退出已经开启的仿真?
该问题请参考下述帖子里面的详细说明
【Vivado常见问题】如何退出已经开启的仿真
http://www.corecourse.cn/forum.php?mod=viewthread&tid=28454










admin 发表于 2023-12-27 14:18:07


#-------------------------sys_input---------------------------------
#set UnusedPin
set_property BITSTREAM.CONFIG.UNUSEDPIN Pullnone



#system slock
set_property PACKAGE_PIN Y18
set_property IOSTANDARD LVCMOS33



#reset active low,S4
set_property PACKAGE_PIN B21
set_property IOSTANDARD LVCMOS33
#-------------------------------------------------------------------



#----------------------------led_output-----------------------------
#led pin
set_property PACKAGE_PIN M22 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN N22 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN L21 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN K21 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN K22 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN J22 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN H22 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN M21 }]
set_property IOSTANDARD LVCMOS33 }]
#-------------------------------------------------------------------



#-------------------------------------------------------------------
#switch pin 开关输入
set_property PACKAGE_PIN G22 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN D22 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN E22 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN G21 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN E21 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN D21 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN C22 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN B22 }]
set_property IOSTANDARD LVCMOS33 }]
#-------------------------------------------------------------------



#-------------------------------------------------------------------
#按键输入 key_in0~3:S0~S3
set_property PACKAGE_PIN F15 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN A20 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN B20 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN A21 }]
set_property IOSTANDARD LVCMOS33 }]
#-------------------------------------------------------------------



#-------------------------------------------------------------------
#HT6221 红外遥控输入
set_property PACKAGE_PIN F21
set_property IOSTANDARD LVCMOS33
#-------------------------------------------------------------------



#-------------------------------------------------------------------
#beep 蜂鸣器输出
set_property PACKAGE_PIN N19
set_property IOSTANDARD LVCMOS33
#-------------------------------------------------------------------



#----------------------------I2C接口--------------------------------
#I2C
set_property PACKAGE_PIN M13
set_property PACKAGE_PIN M16
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property PULLUP true
set_property PULLUP true
#-------------------------------------------------------------------



#-------------------------------------------------------------------
#uart_rx
set_property PACKAGE_PIN J21
set_property IOSTANDARD LVCMOS33
#uart_tx
set_property PACKAGE_PIN M15
set_property IOSTANDARD LVCMOS33
#-------------------------------------------------------------------



#-------------------------------------------------------------------
#数码管显示(使用HC595驱动)
set_property PACKAGE_PIN M18
set_property PACKAGE_PIN F4
set_property PACKAGE_PIN C2

set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
#-------------------------------------------------------------------



#-----------------------千兆以太网GMII接口--------------------------
#eth_reset_n

#set_property CLOCK_DEDICATED_ROUTE FALSE

#gmii_rx
#set_property PACKAGE_PIN T21
#set_property IOSTANDARD LVCMOS33
#set_property PACKAGE_PIN AB18
#set_property IOSTANDARD LVCMOS33
#set_property PACKAGE_PIN V17}]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN V18}]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN P19}]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN R19}]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN T20}]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN U20}]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN V19}]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN V20}]
#set_property IOSTANDARD LVCMOS33 }]
#gmii_tx
#set_property PACKAGE_PIN U22
#set_property IOSTANDARD LVCMOS33
#set_property PACKAGE_PIN AA19
#set_property IOSTANDARD LVCMOS33
#set_property PACKAGE_PIN U21 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN W22 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN W21 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN Y22 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN AB22 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN AA21 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN AB21 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN AA20 }]
#set_property IOSTANDARD LVCMOS33 }]

#eth_reset_n
set_property PACKAGE_PIN V22
set_property IOSTANDARD LVCMOS33
#mdio
set_property PACKAGE_PIN AA18
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN W17
set_property IOSTANDARD LVCMOS33

#gmii/mii rx
set_property PACKAGE_PIN T21
set_property IOSTANDARD LVCMOS33

set_property PACKAGE_PIN AB18
set_property IOSTANDARD LVCMOS33

set_property PACKAGE_PIN V17 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN V18 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN P19 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN R19 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN T20 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN U20 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN V19 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN V20 }]
set_property IOSTANDARD LVCMOS33 }]

#gmii/mii tx
set_property PACKAGE_PIN U22
set_property IOSTANDARD LVCMOS33

set_property PACKAGE_PIN Y21
set_property IOSTANDARD LVCMOS33

set_property PACKAGE_PIN AA19
set_property IOSTANDARD LVCMOS33

set_property PACKAGE_PIN U21 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN W22 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN W21 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN Y22 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN AB22 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN AA21 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN AB21 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN AA20 }]
set_property IOSTANDARD LVCMOS33 }]

#
set_property CLOCK_DEDICATED_ROUTE FALSE
create_clock -period 8.000 -name gmii_rx_clk
#create_clock -period 40.000 -name gmii_rx_clk

#set_property CLOCK_DEDICATED_ROUTE FALSE
create_clock -period 40.000 -name mii_tx_clk
#-------------------------------------------------------------------



#--------AD9226--------------------------------------------
##adc1
#set_property PACKAGE_PIN A13
#set_property IOSTANDARD LVCMOS33
#set_property PACKAGE_PIN A14 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN A15 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN A16 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN A18 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN A19 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN F13 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN F14 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN E13 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN E14 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN C13 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN B13 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN D14 }]
#set_property IOSTANDARD LVCMOS33 }]
##adc2
#set_property PACKAGE_PIN C14
#set_property IOSTANDARD LVCMOS33
#set_property PACKAGE_PIN C15 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN B15 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN B16 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN D17 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN C17 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN E16 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN D16 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN B17 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN B18 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN C18 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN C19 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN F16 }]
#set_property IOSTANDARD LVCMOS33 }]
#-------------------------------------------------------------------



#------------------ACM9767---------------------------------------
#DAC9767
#set_property PACKAGE_PIN B16
#set_property PACKAGE_PIN B15
#set_property PACKAGE_PIN D17 }]
#set_property PACKAGE_PIN C17 }]
#set_property PACKAGE_PIN E16 }]
#set_property PACKAGE_PIN D16 }]
#set_property PACKAGE_PIN B17 }]
#set_property PACKAGE_PIN B18 }]
#set_property PACKAGE_PIN C18 }]
#set_property PACKAGE_PIN C19 }]
#set_property PACKAGE_PIN F16 }]
#set_property PACKAGE_PIN E17 }]
#set_property PACKAGE_PIN D20 }]
#set_property PACKAGE_PIN C20 }]
#set_property PACKAGE_PIN E19 }]
#set_property PACKAGE_PIN D19 }]
#set_property IOSTANDARD LVCMOS33
#set_property IOSTANDARD LVCMOS33
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property PACKAGE_PIN C15
#set_property PACKAGE_PIN C14
#set_property PACKAGE_PIN A14 }]
#set_property PACKAGE_PIN A13 }]
#set_property PACKAGE_PIN A16 }]
#set_property PACKAGE_PIN A15 }]
#set_property PACKAGE_PIN A19 }]
#set_property PACKAGE_PIN A18 }]
#set_property PACKAGE_PIN F14 }]
#set_property PACKAGE_PIN F13 }]
#set_property PACKAGE_PIN E14 }]
#set_property PACKAGE_PIN E13 }]
#set_property PACKAGE_PIN B13 }]
#set_property PACKAGE_PIN C13 }]
#set_property PACKAGE_PIN D15 }]
#set_property PACKAGE_PIN D14 }]
#set_property IOSTANDARD LVCMOS33
#set_property IOSTANDARD LVCMOS33
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]
#set_property IOSTANDARD LVCMOS33 }]



#--------------GPIO--------------------------
set_property PACKAGE_PIN A13 }]
set_property PACKAGE_PIN A14 }]
set_property PACKAGE_PIN A15 }]
set_property PACKAGE_PIN A16 }]
set_property PACKAGE_PIN A18 }]
set_property PACKAGE_PIN A19 }]
set_property PACKAGE_PIN F13 }]
set_property PACKAGE_PIN F14 }]
set_property PACKAGE_PIN E13 }]
set_property PACKAGE_PIN E14 }]
set_property PACKAGE_PIN C13 }]
set_property PACKAGE_PIN B13 }]
set_property PACKAGE_PIN D14 }]
set_property PACKAGE_PIN D15 }]
set_property PACKAGE_PIN C14 }]
set_property PACKAGE_PIN C15 }]
set_property PACKAGE_PIN B15 }]
set_property PACKAGE_PIN B16 }]
set_property PACKAGE_PIN D17 }]
set_property PACKAGE_PIN C17 }]
set_property PACKAGE_PIN E16 }]
set_property PACKAGE_PIN D16 }]
set_property PACKAGE_PIN B17 }]
set_property PACKAGE_PIN B18 }]
set_property PACKAGE_PIN C18 }]
set_property PACKAGE_PIN C19 }]
set_property PACKAGE_PIN F16 }]
set_property PACKAGE_PIN E17 }]
set_property PACKAGE_PIN D20 }]
set_property PACKAGE_PIN C20 }]
set_property PACKAGE_PIN E19 }]
set_property PACKAGE_PIN D19 }]

set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
#-------------------------------------------------------------------



#USB
set_property PACKAGE_PIN H19 }]
set_property PACKAGE_PIN J19 }]
set_property PACKAGE_PIN K17 }]
set_property PACKAGE_PIN K18 }]
set_property PACKAGE_PIN L16 }]
set_property PACKAGE_PIN K16 }]
set_property PACKAGE_PIN L15 }]
set_property PACKAGE_PIN L14 }]
set_property PACKAGE_PIN G15 }]
set_property PACKAGE_PIN K14 }]
set_property PACKAGE_PIN G16 }]
set_property PACKAGE_PIN G13 }]
set_property PACKAGE_PIN J20 }]
set_property PACKAGE_PIN G20 }]
set_property PACKAGE_PIN G17 }]
set_property PACKAGE_PIN G18 }]
set_property PACKAGE_PIN H13 }]
set_property PACKAGE_PIN K13 }]
set_property PACKAGE_PIN K19
set_property PACKAGE_PIN L20
set_property PACKAGE_PIN J15
set_property PACKAGE_PIN J17
set_property PACKAGE_PIN H18
set_property PACKAGE_PIN L19
set_property PACKAGE_PIN J14
set_property PACKAGE_PIN J16
set_property PACKAGE_PIN L13

set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33




#摄像头(板载接口)
set_property PACKAGE_PIN N20
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN J2 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN J1 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN G1 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN H2 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN F1 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN G2 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN D1 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN E1 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN M17
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN B1
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN A1
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN B2
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN L18
set_property IOSTANDARD LVCMOS33
set_property PULLUP true
set_property PACKAGE_PIN M20
set_property IOSTANDARD LVCMOS33
set_property PULLUP true

set_property CLOCK_DEDICATED_ROUTE FALSE




#5/4.3寸TFT屏模块(使用扩展口GPIO2)
set_property PACKAGE_PIN R17
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN N17
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN R18
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN T18
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN U18
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN J5 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN K6 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN R16 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN R14 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN P17 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN P6 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN N4 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN M6 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN N5 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN L6 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN M5 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN R1 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN P2 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN N3 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN P4 }]
set_property IOSTANDARD LVCMOS33 }]
set_property PACKAGE_PIN P5 }]
set_property IOSTANDARD LVCMOS33 }]




#HDMI1 板载HDMI接口1
set_property PACKAGE_PIN K4
set_property IOSTANDARD TMDS_33
#set_property PACKAGE_PIN J4
#set_property IOSTANDARD TMDS_33
set_property PACKAGE_PIN L3 }]
set_property IOSTANDARD TMDS_33 }]
#set_property PACKAGE_PIN K3 }]
#set_property IOSTANDARD TMDS_33 }]
set_property PACKAGE_PIN L5 }]
set_property IOSTANDARD TMDS_33 }]
#set_property PACKAGE_PIN L4 }]
#set_property IOSTANDARD TMDS_33 }]
set_property PACKAGE_PIN M3 }]
set_property IOSTANDARD TMDS_33 }]
#set_property PACKAGE_PIN M2 }]
#set_property IOSTANDARD TMDS_33 }]
set_property PACKAGE_PIN H5
set_property IOSTANDARD LVCMOS33




#HDMI2 板载HDMI接口2
set_property PACKAGE_PIN H4
set_property IOSTANDARD TMDS_33
#set_property PACKAGE_PIN G4
#set_property IOSTANDARD TMDS_33
set_property PACKAGE_PIN E2 }]
set_property IOSTANDARD TMDS_33 }]
#set_property PACKAGE_PIN D2 ]
#set_property IOSTANDARD TMDS_33 ]
set_property PACKAGE_PIN F3 }]
set_property IOSTANDARD TMDS_33 }]
#set_property PACKAGE_PIN E3 ]
#set_property IOSTANDARD TMDS_33 ]
set_property PACKAGE_PIN H3 }]
set_property IOSTANDARD TMDS_33 }]
#set_property PACKAGE_PIN G3 ]
#set_property IOSTANDARD TMDS_33 ]
set_property PACKAGE_PIN K2
set_property IOSTANDARD LVCMOS33



#------------电容触摸屏------------------
set_property PACKAGE_PIN P16
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN N15
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN P15
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN N13
set_property IOSTANDARD LVCMOS33



#--------启动速度-----------------
set_property BITSTREAM.CONFIG.CONFIGRATE 33
set_property CONFIG_MODE SPIx4


页: [1]
查看完整版本: 【ACX720】ACX720型Xilinx FPGA开发板用户自助服务手册