商震 发表于 2022-8-16 22:29:55

xilinx的vivado软件无法连接下载器或下载失败解决方案


1、对于ACX720开发板,先确保您有独立的Xilinx USB 下载器,并将其使用USB线接入您的电脑。如果没有,可以通过如下链接购买https://item.taobao.com/item.htm?id=627469960592

2、Vivado中找不到下载器,但是电脑设备管理器的通用串行总线控制器下有USB Serial Converter设备,且不带黄色感叹号(也就是设备驱动显示正常),可以运行“D:\Xilinx\Vivado\2018.3\data\xicom\cable_drivers\nt64\digilent\install_digilent.exe”文件,并在需要的时候勾选所有勾选项以手动安装下载器服务程序。注意运行该程序时,需要确保下载器已经从电脑端口拔下来了。(插入状态会影响服务组件的安装)


3、若设备管理器中没有USB Serial Converter,但是其它设备下是否有Digilent USB Device设备且带黄色感叹号,使用上面第二步的方法也可以解决。






经常有初学者在初次使用Xilinx开发板的时候,遇到各种无法连接下载器或无法下载程序的问题,这里,作一个简单的归纳,以便于后期遇到相同问题能让读者迅速得到解决。

重点关注对象:


[*]开发板的硬件连接及正面上电现象。如拍照,最好能拍出整个软件的工作环境,包括计算机USB口
[*]设备管理器界面
[*]VIVADO的下载界面hardware窗口。如截图,最好能截整个VIVADO软件工作界面
[*]下载器的右上角指示灯
[*]异常现象的报错信息对话框。如截图,最好能截整个VIVADO软件工作界面
[*]开发板背面下载器接口附近照片

(如遇特别棘手问题参考完此帖后仍无法解决问题,可以在群内提供以上相关全部或部分清晰图片信息协助管理员诊断)


正常的准备工作要求

首先,确保硬件连接正常,软件安装正常,其基本要点包括:

[*]VIVADO软件和运行编译下载的工程安装在非中文和非空格等正常安装路径下,VIVADO软件安装正常,工程编译完成且bit文件生成正常。
[*]硬件连接正常,开发板的供电由5V电源适配器连接圆孔电源插接口供电。
[*]如果是ACX720/ACX735开发板,下载器的一端USB口直接连接计算机USB接口,不得经过USB-HUB集线器转接。下载器USB线的另一端连接下载器盒体。下载器的灰排线连接下载器的盒体和开发板。
[*]如果是ACZ702开发板,确保插接好USB-TypeC于计算机和开发板Type-C接口之间。
[*]确保开关上电。如果以上准备工作都正常完成,是可以正常使用VIVADO下载程序到开发板的。下面介绍几种按照上述方法操作,仍然会下载程序异常的情况,并针对性提出一些解决方案。



异常情况1

设备管理器中,通用串行总线控制器菜单下,无USB Serial Converter选项。并且该选项出现在设备管理器的其他设备选项中。


这种情况是典型的下载器驱动没有安装好,其原因是安装VIVADO的过程中,对于驱动安装的选项选择的是不安装。或者是选择安装,然后被某些其他软件阻止,导致安装失败。
此种情况可以直接参考下方解决方案1即可。

解决方案:

在使用vivado平台进行开发的时候,往往遇到这种情况,安装好vivado后,在FPGA开发板和计算机之间的物理连接没有任何问题的情况下,Vivado识别不到开发板的下载器。这时候很有可能是vivado软件安装时候自带的一个驱动文件install_digilent.exe没有安装成功,或者安装成功后被第三方软件卸载了。这时候只需要到自己的计算机vivado软件安装路径文件里把它重新安装一遍即可。


安装过程可能如下所示的错误,只需要点击忽略并继续即可。

这就是第一种异常情况。



异常情况2

开发板明显上电成功,通用串行总线控制器选项下方也有USB Serial Converter选项,VIVADO的下载界面无法检测到下载器



这种情况和上方情况类似但更加隐蔽,实际上是驱动虽然已经安装,但是其中的某个服务没有安装成功。正常的解决方案如下


解决方案

参考异常情况1的处理方案即可,补充安装下载器驱动。
这就是第二种异常情况


异常情况3

设备管理器正常有USB Serial Converter,但VIVADO下载程序的时候,出现如下报错界面:



出现这个界面,可以检查一下开发板的上电是否正常,其典型的现象是开发板自检程序正常工作。确保上电后再次尝试即可。


异常情况4



下载时出现上面的情况,说明VIVADO在同一台计算机界面找到两个对应的下载器,且其中一个上电。这时候找到对应的开发板和下载器排除干扰即可。


异常情况5

程序可以下载,下载完成后,特别是程序bin文件固化完成后显示如下弹窗:



这种情况可以考虑检查一下下载器的插接或者灰色排线的插接,如果是灰色排线的插接不良或排线损坏,连接不通,则会出现上图的报错情况。此时可以用随板配送的杜邦线插接,替代灰色排线的方案进行尝试,可以参考如下解决方案。如果仍无法解决,可以群内提问让管理员给出合理方案。



解决方案
Xilinx下载器连接VIVADO使用杜邦线代替灰排线方法
http://www.corecourse.cn/forum.php?mod=viewthread&tid=28668


异常情况6:

连接均正常,VIVADO识别芯片不稳定,偶尔不能识别。如果尝试先给开发板上电,再打开工程检测芯片,却又可以正常稳定识别,总之,无法自由选择先上电还是先开工程。



出现这种情况,一种可能的原因是下载器的开发板下载侧的TVS管干扰了下载器的正常工作。解决方法是去掉多余的TVS管即可完美解决,为避免盲目拆卸TVS管,可以先用杜邦线代替灰排线方案进行尝试。



解决方案

Xilinx下载器连接VIVADO使用杜邦线代替灰排线方法
http://www.corecourse.cn/forum.php?mod=viewthread&tid=28668


补充一些辅助的判断经验


[*]设备管理器通用串行总线控制器内没有USB Serial Converter,说明驱动一定没有安装成功。如有USB Serial Converter,不一定下载器驱动安装成功,如在VIVADO下载界面无法检测到下载器,可以尝试重新安装下载器驱动。
[*]如果下载器右上角的信号灯颜色为红色,说明下载器连接到开发板失败,如果该灯为绿色,并不能证明下载器连接电脑成功。
[*]VIVADO下载界面的hardware界面,localhost内下载器数量为多少,就是成功连接到这台计算机的下载器数量,如果为0,表示未连接下载器或驱动安装失败。
[*]VIVADO下载界面的hardware界面检测到的芯片型号,就是实际下载器检测到的芯片型号,不是本工程在设计阶段从VIVADO芯片库里软件筛选出来的芯片型号。如果实际的检测到的型号和筛选得的工程设计型号不符,则下载时会直接报错。

如经过此帖仍无法解决程序下载的问题,可以回到本帖子前方,参考重点关注对象的几个内容,群内截图讨论分析。





















来源: xilinx的vivado软件无法连接下载器或下载失败解决方案
页: [1]
查看完整版本: xilinx的vivado软件无法连接下载器或下载失败解决方案