admin 发表于 2022-10-17 17:47:13

【产品资料】【扩展模块】ACM2108高速ADC+DAC模块资料和使用说明

模块介绍

本模块基于国产知名模拟器件设计和制造商杭州瑞盟公司的8位 50M采样速率高速ADC 芯片MS9280(完全兼容AD9280,但是速度比AD9280高)和125Msps转换速率的高速DAC芯片MS9708(完全兼容AD9708)。配合前端模拟信号调理电路,实现了±5V电压范围内信号的高速采样,以及模拟信号输出。以下为模块实物图。





本模块可用于小梅哥全系列FPGA、SOC 、Zynq开发板,包括国产开发板和各核心板的评估底板。
AC620、AC6102、ACX720、ACZ702、AC609、智多晶FPGA开发板(AC208-SA5Z)、AC608评估底板、AC601评估底板、AC675评估底板。。。。。。。。更多可联系我们确认

本模块可用于友晶的FPGA开发板、SOC开发板,如:
DE2-35、DE2-70、DE2-115、DE1-SoC、DE10-Stander、DE0-SoC-nano。。。。。。更多可联系我们确认



原理图



接各开发板的管脚信息表



例程

基于AC609开发板,DAC输出正弦波,quartus使用signaltap抓取ADC采集数据并显示为模拟波形



基于AC620开发板,DAC输出正弦波,quartus使用signaltap抓取ADC采集数据并显示为模拟波形


基于AC208-ep4ce10开发板,DAC输出正弦波,quartus使用signaltap抓取ADC采集数据并显示为模拟波形



基于ACZ702开发板纯逻辑设计的测试工程,DAC输出模拟波形,Vivado使用ILA抓取ADC采集数据并显示为模拟波形



基于ACX720开发板纯逻辑设计的测试工程,DAC输出模拟波形,Vivado使用ILA抓取ADC采集数据并显示为模拟波形




基于ACX720开发板的数据采集例程,数据采集后存储在DDR3存储器中,然后由串口发送到电脑端,再通过matlab绘制波形
ACM108/ACM2108数据采集DDR3缓存串口发送实验
http://www.corecourse.cn/forum.php?mod=viewthread&tid=29232
   

基于AC208-sa5z开发板,DAC输出正弦波,hqfpga使用在线逻辑分析仪抓取ADC采集数据并显示为模拟波形





【软件下载】AAA-Tina-TI官方汉化版软件下载链接
http://www.corecourse.cn/forum.php?mod=viewthread&tid=29217



页: [1]
查看完整版本: 【产品资料】【扩展模块】ACM2108高速ADC+DAC模块资料和使用说明