狄亚军 发表于 2022-11-8 22:16:38

Error: (vsim-3043) : Unresolved reference to 'uart_byte_top'.

** Error: (vsim-3043) D:/FPGA/class/cllss11_b/prj/../testbench/uart_byte_top_tb.v(41): Unresolved reference to 'uart_byte_top'.

狄亚军 发表于 2022-11-9 09:04:39

将testbench里例化top0改成top就好了,不明白是啥原因

狄亚军 发表于 2022-11-9 10:01:32

uart_byte_top uart_byte_top0

wait(uart_byte_top0.tx_done & uart_byte_top0.byte_cnt==5);
这两个标号要一致,规范的是这样写

狄亚军 发表于 2023-6-12 15:50:47

血泪的教训!!!(一般都是低级错误,检查起来很麻烦)
如果是一个模块的端口都有有问题,有可能是例化错了,前面没加点!!!
页: [1]
查看完整版本: Error: (vsim-3043) : Unresolved reference to 'uart_byte_top'.