admin 发表于 2022-11-20 18:18:49

Vivado打开管脚约束、引脚分配的图形界面方法


1、点击Open Elaborated Design按钮,如下图所示




2、在右上角的下拉列表中点击并选择I/O Planning选项




3、进入I/O Planning后,下方的I/O Ports项就是你要找的IO分配的图形界面了。



页: [1]
查看完整版本: Vivado打开管脚约束、引脚分配的图形界面方法