天生我材必有用 发表于 2023-7-21 16:25:08

ACX720 led 使用参数化设计实现模块重用

请教一下大家 设计文件里例化3-8译码器模块时带参数(定义3-8译码器输出位宽)

![屏幕截图2023-07-21162351.png](data/attachment/forum/202307/21/162420m3977bcc1te9zzrt.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/300 "屏幕截图 2023-07-21 162351.png")

3-8译码器子模块中也声明了参数WIDTH,为什么这样子综合的时候会报错

![屏幕截图2023-07-21162401.png](data/attachment/forum/202307/21/162441x6b6h968vb536h56.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/300 "屏幕截图 2023-07-21 162401.png")

module 'xmg_decoder_3_8' declared at 'E:/VivadoProject_2018_3/Project_xmg_led_run/Project_xmg_led_run.srcs/sources_1/new/xmg_decoder_3_8.v:23' does not have any parameter 'WDITH' used as named parameter override ["E:/VivadoProject_2018_3/Project_xmg_led_run/Project_xmg_led_run.srcs/sources_1/new/xmg_led_run2.v":55]

天生我材必有用 发表于 2023-7-21 16:33:15

第一次发帖不太熟练,咋传了这么多重复截图啊:funk:

tb一下 发表于 2023-7-22 15:46:55

天生我材必有用 发表于 2023-7-21 16:33
第一次发帖不太熟练,咋传了这么多重复截图啊
因为你端口名字错了,WIDTH和WDITH
页: [1]
查看完整版本: ACX720 led 使用参数化设计实现模块重用