tb一下 发表于 2023-7-28 10:27:41

【Vivado使用】无法修改引脚分配解决办法

最近在进行工程移植时,出现了部分引脚无法修改的情况,如下图:

!(data/attachment/forum/202307/28/100550g2hoh2h2v39j9fsk.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/300 "image.png")

可以看到,reset_n信号引脚分配处并没有下拉项,上方的IO端口特性中也显示了此时该引脚为只读状态。这是在移植时由于更改了芯片型号,部分引脚无效被软件“锁定了”导致的。这里直接给出解决办法。

## 【方法1】在属性中直接修改引脚分配

选中无法修改的引脚,找到IO端口属性,在属性栏中找到PACKAGE_PIN,点击右侧的笔迹图标修改待分配引脚后回车保存即可完成修改。

!(data/attachment/forum/202307/28/102009no78sjc7z3r83khz.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/300 "image.png")

## 【方法二】修改xdc文件

由于我们在GUI界面中进行的所有约束操作,最终都会转化为约束语句存放在xdc文件中,所以这里我们也可以直接对xdc文件中对应信号约束语句作修改

!(data/attachment/forum/202307/28/102449dvxpprree1ffqqwf.png?imageMogr2/auto-orient/strip%7CimageView2/2/w/300 "image.png")

xdc文件位置如图,打开可以看到第9行语句正是对reset_n信号的引脚分配语句,这里直接将F20修改为我们想要分配的引脚后保存即可完成修改。
页: [1]
查看完整版本: 【Vivado使用】无法修改引脚分配解决办法