admin 发表于 2023-9-4 15:01:26

AC6103 FPGA开发板用户服务手册






如需资料,请直接前往百度网盘下载完整资料

资料下载
AC6103型带USB3.0的FPGA开发板资料
https://pan.baidu.com/s/1pk--Wme1zjvT34MH4Woc7A?pwd=6103


使用常见问题

见本帖二楼内容


管脚信息

见本帖三楼内容


admin 发表于 2023-9-29 15:24:51

使用常见问题答疑FAQ


FPGA型号
30K:EP4CE30F23C8N
40K:EP4CE40F23C8N


DDR2型号
DDR2实际使用型号:MT47H64M16NF-25E:M TR(镁光,单颗128MB,使用2颗,组成硬件32位宽DDR2模组)


EPCS型号
W25Q64JVSIQ(华邦)64Mbit
兼容Altera官方的EPCS64,所以大家生成JIC文件时请选择EPCS64


40K版本例程在哪里
直接使用EP4CE30的例程即可,无需修改,无需重新编译,直接烧录即可。因为两个型号的芯片,引脚功能完全一样,EPCE30的程序可以直接烧录到EP4CE40中正常运行,但是EP4CE40的程序不保证全都可以正常运行在EP4CE30上。所以40K的用户,使用例程时候,直接使用30K的例程就可以。


为什么固化烧写不进去
开发板正面的拨码开关需要设置为从左到右101010。这个是用来设置FPGA通过PS还是AS启动模式用的开关。101010是AS、010101是PS。当前开发板硬件上仅支持JTAG和AS模式启动,所以需要设置为AS模式,才能从外部SPI FLASH中上电加载程序。



USB3.0接入电脑不识别设备
开发板出厂时候,固化了有一个供测试用的UVC摄像头的固件,所以开发板插入电脑,默认会识别为一个名为FX3的USB摄像头,需要用户将USB的启动模式切换为USB启动后,就能识别为基本的USB3.0设备了。

admin 发表于 2024-1-11 21:38:40

复用管脚和默认电平设置

#设置默认管脚电平为3.3LVTTL
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"

#设置配置相关信号为用户管脚
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO"


基础功能

#时钟1 27MHz
set_location_assignment PIN_G22 -to CLKY1

#时钟2 50MHz
set_location_assignment PIN_G1 -to CLKY2

#LED灯
set_location_assignment PIN_F8 -to LED
set_location_assignment PIN_D10 -to LED
set_location_assignment PIN_E12 -to LED
set_location_assignment PIN_E13 -to LED

#按键
set_location_assignment PIN_B12 -to S
set_location_assignment PIN_J8 -to S
set_location_assignment PIN_L8 -to S
set_location_assignment PIN_A11 -to S
set_location_assignment PIN_F16 -to SYS_RESET

#UART串口
set_location_assignment PIN_W1 -to uart_0_rxd
set_location_assignment PIN_Y1 -to uart_0_txd

#I2C,EEPROM + PCF8563 RTC
set_location_assignment PIN_N8 -to IIC_SCL
set_location_assignment PIN_R6 -to IIC_SDA

#红外遥控
set_location_assignment PIN_A12 -to IR

#SD卡
set_location_assignment PIN_W2 -to TF_CLK
set_location_assignment PIN_Y2 -to TF_CMD
set_location_assignment PIN_V2 -to TF_DATA
set_location_assignment PIN_U2 -to TF_DATA
set_location_assignment PIN_P5 -to TF_DATA
set_location_assignment PIN_AA1 -to TF_DATA

#EPCS FLASH
set_location_assignment PIN_E2 -to epcs_sce
set_location_assignment PIN_K1 -to epcs_data0
set_location_assignment PIN_K2 -to epcs_dclk
set_location_assignment PIN_D1 -to epcs_sdo

#JTAG
set_location_assignment PIN_L2 -to TCK
set_location_assignment PIN_L5 -to TDI
set_location_assignment PIN_L4 -to TDO
set_location_assignment PIN_L1 -to TMS


音频I2S WM8960

#音频I2S WM8960
set_location_assignment PIN_L6 -to I2S_ADCDAT
set_location_assignment PIN_M8 -to I2S_ADCLRC
set_location_assignment PIN_M6 -to I2S_BCLK
set_location_assignment PIN_N7 -to I2S_DACDAT
set_location_assignment PIN_P7 -to I2S_DACLRC
set_location_assignment PIN_P6 -to I2S_MCLK
set_location_assignment PIN_K8 -to AUD_I2C_SCL
set_location_assignment PIN_J3 -to AUD_I2C_SDA


RGB TFT显示屏,RGB565

#RGB TFT显示屏,RGB565
set_location_assignment PIN_G7 -to TS_PEN
set_location_assignment PIN_H11 -to TS_MOSI
set_location_assignment PIN_E10 -to TS_MISO
set_location_assignment PIN_G11 -to TS_CS
set_location_assignment PIN_H10 -to TS_CLK
set_location_assignment PIN_G10 -to TFT_VSYNC
set_location_assignment PIN_A13 -to TFT_VDEN
set_location_assignment PIN_G8 -to TFT_VCLK
set_location_assignment PIN_G9 -to TFT_RESET
set_location_assignment PIN_F10 -to TFT_PWM
set_location_assignment PIN_B13 -to TFT_HSYNC
set_location_assignment PIN_E15 -to TFT_R7
set_location_assignment PIN_D17 -to TFT_R6
set_location_assignment PIN_B17 -to TFT_R5
set_location_assignment PIN_E14 -to TFT_R4
set_location_assignment PIN_E16 -to TFT_R3
set_location_assignment PIN_F14 -to TFT_G7
set_location_assignment PIN_B16 -to TFT_G6
set_location_assignment PIN_H14 -to TFT_G5
set_location_assignment PIN_D15 -to TFT_G4
set_location_assignment PIN_B18 -to TFT_G3
set_location_assignment PIN_C15 -to TFT_G2
set_location_assignment PIN_A14 -to TFT_B7
set_location_assignment PIN_G14 -to TFT_B6
set_location_assignment PIN_C13 -to TFT_B5
set_location_assignment PIN_F13 -to TFT_B4
set_location_assignment PIN_D13 -to TFT_B3


RGMII 以太网PHY接口

#RGMII 以太网PHY接口
set_location_assignment PIN_P1 -to ETH_GTX_CLK
set_location_assignment PIN_N2 -to ETH_INT_N
set_location_assignment PIN_R1 -to ETH_MDC
set_location_assignment PIN_T7 -to ETH_MDIO
set_location_assignment PIN_T3 -to ETH_RESET_N
set_location_assignment PIN_T2 -to ETH_RX_CLK
set_location_assignment PIN_R7 -to ETH_RX_DATA0
set_location_assignment PIN_M5 -to ETH_RX_DATA1
set_location_assignment PIN_N5 -to ETH_RX_DATA2
set_location_assignment PIN_N1 -to ETH_RX_DATA3
set_location_assignment PIN_L7 -to ETH_RX_DV
set_location_assignment PIN_R2 -to ETH_TX_DATA0
set_location_assignment PIN_T5 -to ETH_TX_DATA1
set_location_assignment PIN_T4 -to ETH_TX_DATA2
set_location_assignment PIN_R5 -to ETH_TX_DATA3
set_location_assignment PIN_P2 -to ETH_TX_EN


DVI/HDMI输出接口

#DVI/HDMI输出接口
set_location_assignment PIN_V3 -to HDMI_CEC
set_location_assignment PIN_U1 -to HDMI_HPD
set_location_assignment PIN_V1 -to HDMI_SCL
set_location_assignment PIN_V4 -to HDMI_SDA
set_location_assignment PIN_M3 -to tmds_clk_n
set_location_assignment PIN_M4 -to tmds_clk_p
set_location_assignment PIN_P3 -to tmds_data_n
set_location_assignment PIN_M7 -to tmds_data_n
set_location_assignment PIN_M1 -to tmds_data_n
set_location_assignment PIN_P4 -to tmds_data_p
set_location_assignment PIN_N6 -to tmds_data_p
set_location_assignment PIN_M2 -to tmds_data_p


DVP CMOS摄像头接口

#DVP CMOS摄像头接口
set_location_assignment PIN_E22 -to Camera_D
set_location_assignment PIN_D21 -to Camera_D
set_location_assignment PIN_F19 -to Camera_D
set_location_assignment PIN_F21 -to Camera_D
set_location_assignment PIN_G18 -to Camera_D
set_location_assignment PIN_H22 -to Camera_D
set_location_assignment PIN_H19 -to Camera_D
set_location_assignment PIN_J21 -to Camera_D
set_location_assignment PIN_F17 -to Camera_HREF
set_location_assignment PIN_G21 -to Camera_PCLK
set_location_assignment PIN_H17 -to Camera_SCLK
set_location_assignment PIN_K17 -to Camera_SDA
set_location_assignment PIN_L21 -to Camera_VSYNC
set_location_assignment PIN_K19 -to Camera_XCLK


USB3.0 SlaveFIFO接口管脚信息

#USB3.0 SlaveFIFO接口管脚信息
set_location_assignment PIN_E1 -to FX3_addr
set_location_assignment PIN_C3 -to FX3_addr
set_location_assignment PIN_F7 -to FX3_dq
set_location_assignment PIN_B7 -to FX3_dq
set_location_assignment PIN_A4 -to FX3_dq
set_location_assignment PIN_B8 -to FX3_dq
set_location_assignment PIN_C8 -to FX3_dq
set_location_assignment PIN_A5 -to FX3_dq
set_location_assignment PIN_C10 -to FX3_dq
set_location_assignment PIN_B10 -to FX3_dq
set_location_assignment PIN_E9 -to FX3_dq
set_location_assignment PIN_H8 -to FX3_dq
set_location_assignment PIN_E6 -to FX3_dq
set_location_assignment PIN_D7 -to FX3_dq
set_location_assignment PIN_F9 -to FX3_dq
set_location_assignment PIN_B6 -to FX3_dq
set_location_assignment PIN_J6 -to FX3_dq
set_location_assignment PIN_C6 -to FX3_dq
set_location_assignment PIN_J2 -to FX3_dq
set_location_assignment PIN_G3 -to FX3_dq
set_location_assignment PIN_E5 -to FX3_dq
set_location_assignment PIN_K7 -to FX3_dq
set_location_assignment PIN_H1 -to FX3_dq
set_location_assignment PIN_J7 -to FX3_dq
set_location_assignment PIN_J1 -to FX3_dq
set_location_assignment PIN_J4 -to FX3_dq
set_location_assignment PIN_G5 -to FX3_dq
set_location_assignment PIN_G4 -to FX3_dq
set_location_assignment PIN_H7 -to FX3_dq
set_location_assignment PIN_D2 -to FX3_dq
set_location_assignment PIN_E3 -to FX3_dq
set_location_assignment PIN_F1 -to FX3_dq
set_location_assignment PIN_E7 -to FX3_dq
set_location_assignment PIN_C1 -to FX3_dq
set_location_assignment PIN_H6 -to FX3_flag_a
set_location_assignment PIN_E4 -to FX3_flag_b
set_location_assignment PIN_D6 -to FX3_flag_c
set_location_assignment PIN_F2 -to FX3_flag_d
set_location_assignment PIN_C7 -to FX3_pktend_n
set_location_assignment PIN_B5 -to FX3_slcs_n
set_location_assignment PIN_B2 -to FX3_sloe_n
set_location_assignment PIN_C2 -to FX3_slrd_n
set_location_assignment PIN_B4 -to FX3_slwr_n
set_location_assignment PIN_A3 -to FX3_pclk


通用扩展接口GPIO0(左侧)

#通用扩展接口GPIO0(左侧)
set_location_assignment PIN_M20 -to GPIO0
set_location_assignment PIN_M19 -to GPIO0
set_location_assignment PIN_N20 -to GPIO0
set_location_assignment PIN_N19 -to GPIO0
set_location_assignment PIN_N17 -to GPIO0
set_location_assignment PIN_N18 -to GPIO0
set_location_assignment PIN_R18 -to GPIO0
set_location_assignment PIN_R19 -to GPIO0
set_location_assignment PIN_P15 -to GPIO0
set_location_assignment PIN_P16 -to GPIO0
set_location_assignment PIN_U20 -to GPIO0
set_location_assignment PIN_U19 -to GPIO0
set_location_assignment PIN_M22 -to GPIO0
set_location_assignment PIN_M21 -to GPIO0
set_location_assignment PIN_N22 -to GPIO0
set_location_assignment PIN_N21 -to GPIO0
set_location_assignment PIN_P22 -to GPIO0
set_location_assignment PIN_P21 -to GPIO0
set_location_assignment PIN_R22 -to GPIO0
set_location_assignment PIN_R21 -to GPIO0
set_location_assignment PIN_U22 -to GPIO0
set_location_assignment PIN_U21 -to GPIO0
set_location_assignment PIN_V22 -to GPIO0
set_location_assignment PIN_V21 -to GPIO0
set_location_assignment PIN_W22 -to GPIO0
set_location_assignment PIN_W21 -to GPIO0
set_location_assignment PIN_Y22 -to GPIO0
set_location_assignment PIN_Y21 -to GPIO0
set_location_assignment PIN_P20 -to GPIO0
set_location_assignment PIN_R20 -to GPIO0
set_location_assignment PIN_R17 -to GPIO0
set_location_assignment PIN_T17 -to GPIO0
set_location_assignment PIN_W20 -to GPIO0
set_location_assignment PIN_AA21 -to GPIO0
set_location_assignment PIN_T22 -to GPIO0
set_location_assignment PIN_T21 -to GPIO0


通用扩展接口GPIO1(下方)

#通用扩展接口GPIO1(下方)
set_location_assignment PIN_B14 -to GPIO1
set_location_assignment PIN_A15 -to GPIO1
set_location_assignment PIN_B15 -to GPIO1
set_location_assignment PIN_A16 -to GPIO1
set_location_assignment PIN_C17 -to GPIO1
set_location_assignment PIN_A17 -to GPIO1
set_location_assignment PIN_G16 -to GPIO1
set_location_assignment PIN_A18 -to GPIO1
set_location_assignment PIN_D19 -to GPIO1
set_location_assignment PIN_A19 -to GPIO1
set_location_assignment PIN_A20 -to GPIO1
set_location_assignment PIN_B19 -to GPIO1
set_location_assignment PIN_G17 -to GPIO1
set_location_assignment PIN_C19 -to GPIO1
set_location_assignment PIN_B22 -to GPIO1
set_location_assignment PIN_C21 -to GPIO1
set_location_assignment PIN_E21 -to GPIO1
set_location_assignment PIN_D20 -to GPIO1
set_location_assignment PIN_F20 -to GPIO1
set_location_assignment PIN_D22 -to GPIO1
set_location_assignment PIN_F22 -to GPIO1
set_location_assignment PIN_H16 -to GPIO1
set_location_assignment PIN_G13 -to GPIO1
set_location_assignment PIN_H21 -to GPIO1
set_location_assignment PIN_J22 -to GPIO1
set_location_assignment PIN_H20 -to GPIO1
set_location_assignment PIN_K22 -to GPIO1
set_location_assignment PIN_H18 -to GPIO1
set_location_assignment PIN_L22 -to GPIO1
set_location_assignment PIN_K21 -to GPIO1
set_location_assignment PIN_K18 -to GPIO1
set_location_assignment PIN_J18 -to GPIO1
set_location_assignment PIN_B21 -to GPIO1
set_location_assignment PIN_J17 -to GPIO1
set_location_assignment PIN_C20 -to GPIO1
set_location_assignment PIN_C22 -to GPIO1


屏幕接口暨通用扩展接口GPIO2(右侧)

#屏幕接口暨通用扩展接口GPIO2(右侧)
set_location_assignment PIN_G7 -to GPIO2
set_location_assignment PIN_G9 -to GPIO2
set_location_assignment PIN_E10 -to GPIO2
set_location_assignment PIN_H10 -to GPIO2
set_location_assignment PIN_G11 -to GPIO2
set_location_assignment PIN_H11 -to GPIO2
set_location_assignment PIN_A13 -to GPIO2
set_location_assignment PIN_F10 -to GPIO2
set_location_assignment PIN_B13 -to GPIO2
set_location_assignment PIN_G10 -to GPIO2
set_location_assignment PIN_A14 -to GPIO2
set_location_assignment PIN_G8 -to GPIO2
set_location_assignment PIN_C13 -to GPIO2
set_location_assignment PIN_G14 -to GPIO2
set_location_assignment PIN_D13 -to GPIO2
set_location_assignment PIN_F13 -to GPIO2
set_location_assignment PIN_B16 -to GPIO2
set_location_assignment PIN_F14 -to GPIO2
set_location_assignment PIN_D15 -to GPIO2
set_location_assignment PIN_H14 -to GPIO2
set_location_assignment PIN_B18 -to GPIO2
set_location_assignment PIN_C15 -to GPIO2
set_location_assignment PIN_D17 -to GPIO2
set_location_assignment PIN_E15 -to GPIO2
set_location_assignment PIN_E14 -to GPIO2
set_location_assignment PIN_B17 -to GPIO2
set_location_assignment PIN_E16 -to GPIO2
set_location_assignment PIN_F15 -to GPIO2


DDR2

#DDR2
set_location_assignment PIN_U10 -to DDR2_A
set_location_assignment PIN_Y6 -to DDR2_A
set_location_assignment PIN_T16 -to DDR2_A
set_location_assignment PIN_R14 -to DDR2_A
set_location_assignment PIN_AA4 -to DDR2_A
set_location_assignment PIN_T11 -to DDR2_A
set_location_assignment PIN_AB5 -to DDR2_A
set_location_assignment PIN_T14 -to DDR2_A
set_location_assignment PIN_AA3 -to DDR2_A
set_location_assignment PIN_U13 -to DDR2_A
set_location_assignment PIN_V6 -to DDR2_A
set_location_assignment PIN_AB3 -to DDR2_A
set_location_assignment PIN_U14 -to DDR2_A
set_location_assignment PIN_U7 -to DDR2_BA
set_location_assignment PIN_AB10 -to DDR2_BA
set_location_assignment PIN_T9 -to DDR2_BA
set_location_assignment PIN_U8 -to DDR2_CKE
set_location_assignment PIN_AB17 -to DDR2_CLK_N
set_location_assignment PIN_AA17 -to DDR2_CLK_P
set_location_assignment PIN_V5 -to DDR2_DM
set_location_assignment PIN_AA7 -to DDR2_DM
set_location_assignment PIN_AA10 -to DDR2_DM
set_location_assignment PIN_AA16 -to DDR2_DM
set_location_assignment PIN_V8 -to DDR2_DQ
set_location_assignment PIN_W6 -to DDR2_DQ
set_location_assignment PIN_W8 -to DDR2_DQ
set_location_assignment PIN_W7 -to DDR2_DQ
set_location_assignment PIN_Y3 -to DDR2_DQ
set_location_assignment PIN_Y7 -to DDR2_DQ
set_location_assignment PIN_AA5 -to DDR2_DQ
set_location_assignment PIN_U9 -to DDR2_DQ
set_location_assignment PIN_AA9 -to DDR2_DQ
set_location_assignment PIN_AB8 -to DDR2_DQ
set_location_assignment PIN_Y10 -to DDR2_DQ
set_location_assignment PIN_AA8 -to DDR2_DQ
set_location_assignment PIN_AB7 -to DDR2_DQ
set_location_assignment PIN_V11 -to DDR2_DQ
set_location_assignment PIN_Y8 -to DDR2_DQ
set_location_assignment PIN_W10 -to DDR2_DQ
set_location_assignment PIN_AB15 -to DDR2_DQ
set_location_assignment PIN_W13 -to DDR2_DQ
set_location_assignment PIN_AB14 -to DDR2_DQ
set_location_assignment PIN_AA13 -to DDR2_DQ
set_location_assignment PIN_AB13 -to DDR2_DQ
set_location_assignment PIN_AA14 -to DDR2_DQ
set_location_assignment PIN_U12 -to DDR2_DQ
set_location_assignment PIN_AA15 -to DDR2_DQ
set_location_assignment PIN_T15 -to DDR2_DQ
set_location_assignment PIN_V15 -to DDR2_DQ
set_location_assignment PIN_W17 -to DDR2_DQ
set_location_assignment PIN_AB16 -to DDR2_DQ
set_location_assignment PIN_V14 -to DDR2_DQ
set_location_assignment PIN_AB20 -to DDR2_DQ
set_location_assignment PIN_W15 -to DDR2_DQ
set_location_assignment PIN_AB18 -to DDR2_DQ
set_location_assignment PIN_V10 -to DDR2_DQS
set_location_assignment PIN_AB9 -to DDR2_DQS
set_location_assignment PIN_Y13 -to DDR2_DQS
set_location_assignment PIN_V13 -to DDR2_DQS
set_location_assignment PIN_R15 -to DDR2_nCAS
set_location_assignment PIN_Y17 -to DDR2_nCS
set_location_assignment PIN_T10 -to DDR2_nRAS
set_location_assignment PIN_V7 -to DDR2_nWE
set_location_assignment PIN_R16 -to DDR2_ODT

故梦 发表于 2024-2-22 16:22:38

AC6103 DDR2管脚TCL脚本
页: [1]
查看完整版本: AC6103 FPGA开发板用户服务手册