手撕原子弹 发表于 2023-9-25 11:43:34

【Vivado】如何修改Vivado和SDK的工程名称

以下举例,将修改名为“OV5640_LCD”的Vivado工程与SDK工程,新的工程名为“OV5640_LCD_V2_0”,同时包含了如何修改SDK工程指定的BSP项目名称

一、修改Vivado工程名

1.修改xpr文件名与工程子文件夹名为新的工程名“OV5640_LCD_V2_0”



2.右键将“OV5640_LCD_V2_0.xpr”以文本形式打开,将“OV5640_LCD”修改为“OV5640_LCD_V2_0”



3.打开OV5640_LCD_V2_0工程,可以看到Vivado工程名称已经修改成功



二、修改SDK工程名

1.修改文件夹名为OV5640,如果改完之后报错,属于正常现象



2.右键点击OV5640_V2_0文件夹进入属性界面,进入C/C++ Build中的Setting选项
(1)选择ARM v7 gcc compiler,如果里面有bsp则说明有选项需要修改



将每个子选项点开看看,寻找到了bsp出现的选项为Directories,修改其中的路径为“OV5640_LCD_V2_0_bsp”


(2)选择ARM v7 gcc linker,如果里面有bsp则说明有选项需要修改



将每个子选项点开看看,寻找到了bsp出现的选项为Libraries,修改其中的路径为“OV5640_LCD_V2_0_bsp”



3.修改C/C++ General下的Paths and Symbols中的Library Paths中的路径为“OV5640_LCD_V2_0_bsp”



4.在Project References中,取消“OV5640_LCD_bsp”的勾选,然后勾选“OV5640_LCD_V2_0_bsp”



5.在C/C++ Build中的Refresh Policy里,删掉“OV5640_LCD”,然后点击添加Resource



6.添加新的“OV5640_LCD_V2_0”



7.修改头文件路径为“OV5640_LCD_V2_0”



8.此时工程不再有报错,说明修改成功




页: [1]
查看完整版本: 【Vivado】如何修改Vivado和SDK的工程名称