admin 发表于 2023-12-6 10:38:59

BX71 ZYNQ核心板资料

BX71资料百度网盘下载:https://pan.baidu.com/s/1zSiVDcZt-YsozpEhzkXcSA?pwd=rncr


本帖子二楼有详细的硬件介绍和管脚约束脚本内容。

图纸

[*]核心板原理图:
[*]核心板元件位置图:


驱动

[*]CH9102F USB转串口驱动:


源码(基于Vivado2018.3)

[*]【裸机】将BX71配置为一个U盘:
[*]【逻辑】使用FPGA实现HDMI显示:
[*]【逻辑】双目OV图像采集以太网传输源码:
[*]【系统】核心板出厂系统对应的Vivado工程020:
[*]【系统】核心板出厂系统对应的Vivado工程010:
[*]【系统】PetaLinux配置源码



使用手册
【BX71】【出厂固件】烧录img镜像文件
http://www.corecourse.cn/forum.php?mod=viewthread&tid=29667

【BX71】【Linux】HDMI与LCD显示Linux界面
http://www.corecourse.cn/forum.php?mod=viewthread&tid=29668






本帖子二楼有详细的硬件介绍和管脚约束脚本内容。

admin 发表于 2023-12-26 21:41:41


ZYNQ芯片型号
7010版:XC7Z010CLG400-2I
7020版:XC7Z020CLG400-2I

DDR3参数
核心板上使用的DDR3,在配置ZYNQ芯片的DDR型号时,请选择兼容型号:MT41K256M16 RE-125,位宽为16位

PS按键
PS侧连接了一个轻触按键,按下为低电平。释放高电平。接到了ZYNQ芯片的MIO47脚上。可以作为用户按键。

PS LED灯
PS侧连接了一个发光二极管,高电平点亮,低电平熄灭。接到了ZYNQ芯片的MIO0脚上。可以作为用户程序的指示灯。

PS UART串口
PS的调试串口使用UART1,使用MIO48和MIO49引出。在板上设计了一片CH9102F芯片,将UART协议转换为USB协议,方便用户接到电脑上,实现了简单方便的USB转串口功能。


PS IO Bank电平标准
PS Bank0
电压标准可以设置为1.8V或3.3V,通过2个磁珠FB9和FB10焊接与否选择

默认是FB10焊接,FB9不焊接,所以BNAK1的电平标准设置的是3.3V
如果希望让BANK0工作在1.8V,则将FB10取下,焊接到FB9的位置即可。

PS Bank1
电压标准可以设置为1.8V或3.3V,通过2个磁珠FB11和FB1焊接与否选择

默认是FB11焊接,FB1不焊接,所以BNAK1的电平标准设置的是3.3V
如果希望让BANK1工作在1.8V,则将FB11取下,焊接到FB1的位置即可。


PL时钟
PL使用一个50MHz的有源晶振提供时钟,接到了ZYNQ芯片的U18脚上,以下为时钟信号的管脚约束

#system slock
set_property PACKAGE_PIN U18
set_property IOSTANDARD LVCMOS33


PL按键
PL侧连接了一个轻触按键,按下为低电平。释放高电平。接到了ZYNQ芯片的T19脚上。可以作为用户按键或者PL逻辑的复位按键。以下为该按键的管脚约束

#reset active low,S4
set_property PACKAGE_PIN T19
set_property IOSTANDARD LVCMOS33


PL LED灯
PL侧连接了一个发光二极管,高电平点亮,低电平熄灭。接到了ZYNQ芯片的T10脚上。可以作为用户程序的指示灯。以下为该按键的管脚约束

#LED high on,D9
set_property PACKAGE_PIN T10
set_property IOSTANDARD LVCMOS33



千兆以太网接口
核心板上以太网电路,硬件连接到了PL侧,这样大家既可以使用FPGA的逻辑驱动该网口,又可以使用PS驱动该网口,两边都能用,更加方便。以下为核心板上以太网电路的管脚约束

set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33 }]
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN R19
set_property PACKAGE_PIN H17
set_property PACKAGE_PIN H16
set_property PACKAGE_PIN G18 }]
set_property PACKAGE_PIN N16 }]
set_property PACKAGE_PIN M14 }]
set_property PACKAGE_PIN M15 }]
set_property PACKAGE_PIN M17 }]
set_property PACKAGE_PIN M18
set_property PACKAGE_PIN K16 }]
set_property PACKAGE_PIN J16 }]
set_property PACKAGE_PIN J15 }]
set_property PACKAGE_PIN G14
set_property PACKAGE_PIN R17



HDMI TX接口
核心板HDMI/DVI TX接口,可以实现HDMI图像的输出,该接口管脚连接在PL侧,可以用FPGA逻辑驱动,具体管脚信息为:

#HDMI1 板载HDMI接口1(*注意,TMDS电平标准下,仅需约束P端管脚,N端无需,也不能手动指定约束)
set_property PACKAGE_PIN N18
set_property IOSTANDARD TMDS_33
#set_property PACKAGE_PIN P19
#set_property IOSTANDARD TMDS_33
set_property PACKAGE_PIN V20 }]
set_property IOSTANDARD TMDS_33 }]
#set_property PACKAGE_PIN W20 }]
#set_property IOSTANDARD TMDS_33 }]
set_property PACKAGE_PIN T20 }]
set_property IOSTANDARD TMDS_33 }]
#set_property PACKAGE_PIN U20 }]
#set_property IOSTANDARD TMDS_33 }]
set_property PACKAGE_PIN N20 }]
set_property IOSTANDARD TMDS_33 }]
#set_property PACKAGE_PIN P20 }]
#set_property IOSTANDARD TMDS_33 }]


LCD接口
核心板上引出了一个LCD的FPC排线接口,该接口连接到了芯片的Bank13上,但是由于7010芯片没有Bank13,只有7020芯片有,所以7010版本无法使用LCD,仅7020版本可以使用该接口。
以下为LCD接口的管脚约束信息。

#5/4.3寸TFT屏模块(使用扩展口GPIO2)
set_property PACKAGE_PIN U8
set_property IOSTANDARD LVCMOS33

set_property PACKAGE_PIN T5
set_property IOSTANDARD LVCMOS33

set_property PACKAGE_PIN U5
set_property IOSTANDARD LVCMOS33

set_property PACKAGE_PIN Y7
set_property IOSTANDARD LVCMOS33

set_property PACKAGE_PIN Y6
set_property IOSTANDARD LVCMOS33

set_property PACKAGE_PIN Y13}]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN Y12}]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN Y11 }]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN W11 }]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN U10 }]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN W10}]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN W9}]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN V11}]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN V10}]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN U9}]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN W8}]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN Y9}]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN Y8}]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN V8}]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN V7}]
set_property IOSTANDARD LVCMOS33 }]

set_property PACKAGE_PIN U7}]
set_property IOSTANDARD LVCMOS33 }]




页: [1]
查看完整版本: BX71 ZYNQ核心板资料