芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 11995|回复: 1

【开发板使用】AC620数码管引脚分配问题

[复制链接]
  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 423

    主题

    782

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15854
    QQ
    发表于 2018-4-18 11:25:02 | 显示全部楼层 |阅读模式
            相信不少用户在基于AC620开发板,跟着我们的《FPGA设计思想与验证方法视频教程》(也就是开发板提供的资料里的C盘内容)进行学习时,当学到数码管这一节的时候,学完数码管的课程“10_数码管动态扫描设计与验证”之后,想要在AC620开发板上进行数码管实验时,却发现怎么都找不到数码管对应的SEL和SEG引脚,导致无法实验,本帖就针对这个问题做个简单说明。


            AC620开发板上的数码管与《FPGA设计思想与验证方法视频教程》中所用红色Starter开发板的数码管电路有所区别,《FPGA设计思想与验证方法视频教程》视频中使用的是FPGA管脚直接驱动数码管的位和段,总共消耗16个FPGA管脚,而AC620开发板上使用了一个74HC595串转并电路。FPGA先将数码管的段和位选串行化通过3根线传给74HC595,再由74HC595将串行数据接收转回并行的段选和位选,驱动数码管。如下图所示:
    74HC595驱动数码管.jpg

      因此,AC620开发板的数码管驱动实际由2部分组成,第一部分就是《FPGA设计思想与验证方法视频教程》中讲的所有内容,以及所有代码。第二部分,是74HC595的驱动将segsel15/16位的数据转为串行数据输出给74HC595芯片的部分。下图为我们FPGa代码需要设计的数码管驱动的模块图。
    74HC565驱动模块.jpg

      针对这部分内容,我们有提供补充教程
      a、首先是在FPGA自学笔记》(或者是补充内容改名后的《FPGA系统设计与验证实战指南》)一书中“8位7段数码管驱动设计与验证”章节,以图文教程的形式介绍了AC620开发板上的数码管电路和驱动方法,并提供了源程序。


      b、另外,我们也针对AC620开发板上的数码管电路,补充录制了两集程序设计和验证的视频课程,并加入到了C盘的内容中,名为“10_B串行移位寄存器原理与结构分析”和“10_C串行移位寄存器驱动数码管显示设计与实现”。所以,如果您下载的课程资料里面本身就有这两个视频文件了,学完"10_A数码管动态扫描设计与验证"之后,先把课程讲到的内容做出来,但不忙进行板级实验,接着学习10B和10C,在10C中,就会介绍如何在AC620开发板上进行相应的实验了。

    视频目录.jpg



    回复

    使用道具 举报

  • TA的每日心情
    开心
    2022-6-29 15:57
  • 13

    主题

    246

    帖子

    3625

    积分

    超级版主

    Rank: 8Rank: 8

    积分
    3625
    发表于 2018-4-18 11:53:31 | 显示全部楼层
    很棒~支持小梅哥
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-4-24 06:45 , Processed in 0.117068 second(s), 36 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表