芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 5684|回复: 3

【07.08已解决】状态机按键消抖实验

[复制链接]
  • TA的每日心情
    难过
    2018-8-25 09:43
  • 3

    主题

    15

    帖子

    199

    积分

    初级会员

    Rank: 3Rank: 3

    积分
    199
    发表于 2018-7-7 01:21:48 | 显示全部楼层 |阅读模式
    本帖最后由 Deer-菜籽 于 2018-7-8 23:59 编辑

    按键消抖实验当中,编译过程出现以下报错(抱歉图片上传频繁出现“文件过大”报错)
    http://data:image/png;base64,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

    于是 分析错误描述
    应该是 在一个always块中,[19:3]cnt赋值产生冲突

    以下附上个人认为可能有问题的地方
    1.     <font color="Red">always@(posedge clk or negedge rst_n)</font>
    2.         if(!rst_n)
    3.             cnt <= 20'd0;
    4.         else if (en_cnt && <font color="Red">cnt < 999_999</font>)
    5.             <font color="Red">cnt <= cnt + 1'b1;</font>
    6.                         
    7.         
    8.         <font color="Blue">always@(posedge clk or negedge rst_n)</font>
    9.                 if(!rst_n)        begin
    10.                         state <= 2'd0;
    11.                 end
    12.                 else begin
    13.                         case (state)
    14. ...
    15. ...
    16.                         
    17.                         <font color="Blue">2'd1</font>:        begin
    18.                                 if<font color="Blue">(cnt >= 999_999)</font> begin
    19.                                         state <= 2'd2;
    20.                                         <font color="Blue">cnt <= 20'd0</font>;
    21.                                 end
    22.                                 else if(pedgekey)
    23.                                         en_cnt <= 1'b0;
    24.                         end
    复制代码

    前一个always块
    条件:clk上升沿 rst_n无效 cnt小于999_999
    执行:cnt 计数

    后一个always块
    条件:clk上升沿 rst_n无效 cnt大于等于999_999
    执行:cnt 清零

    以上,cnt执行不同操作时所需的条件并不相同。因此有两个疑问:
    1.cnt执行不同操作时所需的条件不同,为什么会出现quartus的报错
    2.quartus报错只针对cnt[19:3],那对于[2:0]的低三位呢?

    最后,附上完整源码
    1. module key_detact(
    2.     clk,
    3.     rst_n,
    4.     key,
    5.     led
    6. );


    7.     input clk,rst_n;
    8.     input key;
    9.     output reg[3:0]led;
    10.         
    11.         
    12.         //定义key_r储存上一个时钟周期时的key电平
    13.         //用作判断上升沿和下降沿
    14.     reg key_r;
    15.         
    16.     always@(posedge clk)
    17.         key_r <= key;
    18.                
    19.                
    20.     wire pedgekey,nedgekey;
    21.     assign pedgekey = ( (!key_r) && (key) );//按键上升沿即 上一状态为低且现状态为高
    22.     assign nedgekey = ( (key_r) && (!key) );
    23.         
    24.     reg en_cnt;
    25.     reg        [20:0]cnt;
    26.     reg [1:0] state;
    27.         
    28.     always@(posedge clk or negedge rst_n)
    29.         if(!rst_n)
    30.             cnt <= 20'd0;
    31.         else if (en_cnt && cnt < 999_999)
    32.             cnt <= cnt + 1'b1;
    33.                         
    34.         
    35.         always@(posedge clk or negedge rst_n)
    36.                 if(!rst_n)        begin
    37.                         state <= 2'd0;
    38.                 end
    39.                 else begin
    40.                         case (state)
    41.                         2'd0:        begin
    42.                                 if(nedgekey) begin
    43.                                         state <= 2'd1;
    44.                                         en_cnt <= 1'b1;
    45.                                 end
    46.                                 else
    47.                                         state <= state;
    48.                         end
    49.                         
    50.                         2'd1:        begin
    51.                                 if(cnt >= 999_999) begin
    52.                                         state <= 2'd2;
    53.                                         cnt <= 20'd0;
    54.                                 end
    55.                                 else if(pedgekey)
    56.                                         en_cnt <= 1'b0;
    57.                         end
    58.                         
    59.                         2'd2:        begin
    60.                                 if(pedgekey) begin
    61.                                         en_cnt <= 1'b1;
    62.                                         state <= 2'd3;
    63.                                 end
    64.                                 else
    65.                                         state <=2'd2;
    66.                         end
    67.                         
    68.                         2'd3:        begin
    69.                                 if(cnt >= 999_999) begin
    70.                                         state <= 2'd0;
    71.                                         cnt <= 20'd0;
    72.                                 end
    73.                                 else if(nedgekey) begin
    74.                                         en_cnt <= 1'b0;
    75.                                         if (cnt < 999_999)
    76.                                                 state <= 2'd0;
    77.                                 end
    78.                         end
    79.                         
    80.                         default:state <= 2'd0;
    81.                                        
    82.                         endcase
    83.                
    84.                 end

    85. endmodule
    复制代码

    重复一下我的问题:
    1.cnt执行不同操作时所需的条件不同,为什么会出现quartus的报错
    2.quartus报错只针对cnt[19:3],那对于[2:0]的低三位呢?

    希望能得到解答,先行谢过啦
    回复

    使用道具 举报

  • TA的每日心情
    开心
    2022-6-29 15:57
  • 13

    主题

    246

    帖子

    3625

    积分

    超级版主

    Rank: 8Rank: 8

    积分
    3625
    发表于 2018-7-7 07:17:10 | 显示全部楼层
    cnt执行不同操作时所需的条件不同,为什么会出现quartus的报错

    最好贴出具体报错截图
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    难过
    2018-8-25 09:43
  • 3

    主题

    15

    帖子

    199

    积分

    初级会员

    Rank: 3Rank: 3

    积分
    199
     楼主| 发表于 2018-7-9 00:02:36 | 显示全部楼层
    1. //按键防抖
    2. //2018.06.29
    3. //时钟频率50M

    4. /*        2018.07.04
    5. 修改计数器数值
    6. 计数器计算方法应为 时钟频率(HZ)*时间(S)
    7. */


    8. /*        2018.07.07
    9. 独立 按键上升沿&下降沿判断
    10. 独立 计数器
    11. 代码风格向小梅哥学习
    12. */

    13. module Key_Delay(
    14.         clk,
    15.         rst_n,
    16.         key,
    17.         state,
    18.         cnt,
    19.         key_flag
    20. );


    21.         input        clk;
    22.         input        rst_n;
    23.         input        key;

    24.         output reg key_flag; //按键触发标志,1为按下,0为松开
    25.         output reg [1:0] state;
    26.         output reg [19:0] cnt;
    27.        
    28.         reg en_cnt;
    29.        
    30.        
    31.         //上升沿 & 下降沿 判断
    32.         reg [2:0]key_r;
    33.        
    34.         always@ (posedge clk)
    35.                 key_r <= {key_r[1:0],key};
    36.        
    37.        
    38.         assign key_posedge = ( key_r[1] && (!key_r[2]) );
    39.         assign key_negedge = ( (!key_r[1]) && key_r[2]);
    40.        
    41.        
    42.        
    43.         //计数器
    44.         always@ (posedge clk or negedge rst_n)
    45.                 if (!rst_n)
    46.                         cnt <= 0;
    47.                 else if (en_cnt)
    48.                         cnt <= cnt + 1;
    49.                         else
    50.                                 cnt <= 0;
    51.                
    52.        
    53.        
    54.        
    55.         //状态定义
    56.         localparam
    57.                 WAIT_LOW         =         4'b0001,                //等待出现低电平
    58.                 LOW_STABLE         =         4'b0010,                //等待20ms稳定低电平
    59.                 WAIT_HIGH         =         4'b0100,                //等待出现高电平
    60.                 HIGH_STABLE =         4'b1000;                //等待20ms稳定高电平
    61.                
    62.                
    63.                
    64.         //状态机
    65.         always@ (posedge clk or negedge rst_n)
    66.                 if (!rst_n)
    67.                         begin
    68.                         key_flag <= 1'b0;
    69.                         state <= WAIT_LOW;
    70.                         en_cnt <= 1'b0;
    71.                         end
    72.                 else begin
    73.                         case (state)
    74.                                 WAIT_LOW:        begin
    75.                                         key_flag <= 1'b0;
    76.                                         if (key_negedge)begin
    77.                                                 state <= LOW_STABLE;
    78.                                                 en_cnt <= 1'b1;
    79.                                         end
    80.                                         else
    81.                                                 state <= WAIT_LOW;
    82.                                 end
    83.                                        
    84.                                 LOW_STABLE:        begin
    85.                                         if (cnt >= 999_999) begin
    86.                                                 state <= WAIT_HIGH;
    87.                                                 en_cnt <= 1'b0;
    88.                                                 key_flag <= 1'b1;//表示按键已按下
    89.                                         end
    90.                                         else if (key_posedge) begin
    91.                                                         state <= WAIT_LOW;
    92.                                                         en_cnt <= 1'b0;
    93.                                                 end
    94.                                                 else
    95.                                                         state <= LOW_STABLE;
    96.                                                
    97.                                 end
    98.                                
    99.                                 WAIT_HIGH:        begin
    100.                                         if (key_posedge) begin
    101.                                                 state <= HIGH_STABLE;
    102.                                                 en_cnt <= 1'b1;
    103.                                         end
    104.                                         else
    105.                                                 state <= WAIT_HIGH;
    106.                                        
    107.                                 end
    108.                                
    109.                                 HIGH_STABLE: begin
    110.                                         if (cnt >= 999_999) begin
    111.                                                 key_flag <= 1'b0;
    112.                                                 en_cnt <= 1'b0;
    113.                                                 state <= WAIT_LOW;
    114.                                         end
    115.                                         else if (key_negedge) begin
    116.                                                 en_cnt <= 1'b0;
    117.                                                 state <= WAIT_HIGH;
    118.                                         end
    119.                                                 else
    120.                                                         state <= HIGH_STABLE;
    121.                                 end
    122.                                        
    123.                                 default: begin
    124.                                         state <= WAIT_LOW;
    125.                                         en_cnt <= 1'b0;
    126.                                         key_flag <=1'b0;
    127.                                         end
    128.                                
    129.                         endcase
    130.                 end
    131.                                        
    132. endmodule

    复制代码
    最后调试的代码如上,虽然还没有解决为什么cnt仅高位报错
    但似乎只要不在两个always块中对同一个变量进行赋值就不会产生冲突。

    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2020-11-20 10:36
  • 0

    主题

    14

    帖子

    421

    积分

    版主

    Rank: 7Rank: 7Rank: 7

    积分
    421
    发表于 2018-7-9 22:13:25 | 显示全部楼层
    Deer-菜籽 发表于 2018-7-9 00:02
    最后调试的代码如上,虽然还没有解决为什么cnt仅高位报错
    但似乎只要不在两个always块中对同一个变量进行赋 ...

    同一变量在不同always赋值,语法上不对,编辑器会报错的
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-3-29 20:43 , Processed in 0.121378 second(s), 33 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表