芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 5963|回复: 3

【Modelsim】 出不来波形界面就卡主了,无任何报错提示

[复制链接]

该用户从未签到

1

主题

2

帖子

14

积分

新手入门

Rank: 1

积分
14
发表于 2018-9-16 20:11:30 | 显示全部楼层 |阅读模式
win10 系统 安装Quartus13.1调用Modelsim10.d  (ALTERA STARTER EDITION )
总是停在最后

pic

pic

回复

使用道具 举报

  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 418

    主题

    769

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15805
    QQ
    发表于 2018-9-18 13:17:58 | 显示全部楼层
    这种情况考虑是不是你的代码有问题,否则为啥连任何错误都没报告。可以考虑看下代码,代码写错了有时候会出现这种情况
    回复 支持 反对

    使用道具 举报

    该用户从未签到

    1

    主题

    2

    帖子

    14

    积分

    新手入门

    Rank: 1

    积分
    14
     楼主| 发表于 2018-9-18 22:43:49 | 显示全部楼层
    module Decoder3_8(
            A,
            y
    );
            input [2:0]                A;
           
            output [7:0]        y;
           
            reg [7:0]                y_reg;
            always @ (*)begin
                    case(A[2:0])
                            3'b000: y_reg = 8'b1111_1110;
                            3'b001: y_reg = 8'b1111_1101;
                            3'b010: y_reg = 8'b1111_1011;
                            3'b011: y_reg = 8'b1111_0111;
                            3'b100: y_reg = 8'b1110_1111;
                            3'b101: y_reg = 8'b1101_1111;
                            3'b110: y_reg = 8'b1011_1111;
                            3'b111: y_reg = 8'b1111_1111;
                            3'bxxx: y_reg = 8'b1111_1111;
                            default: y_reg = 8'b1111_1111;
                    endcase
                   
            end
           
            assign y = y_reg;
           
    endmodule

    `timescale 1ns/1ns
    `define clock_period 20

    module Decoder3_8_tb;

            //reg---in
            reg [2:0]                                A;
           
            //wire--out
            wire [7:0]                                y;
           
            //instance
            Decoder3_8 Decoder3_8_instance
            (
                    .A(A),          //括号里面为上面定义的变量
                    .y(y)
            );
           
            initial begin
                    A = 3'b000;
                    #(20*10);
                   
                    A = 3'b001;
                    #(20*10);
                   
                    A = 3'b010;
                    #(20*10);
                   
                    A = 3'b011;
                    #(20*10);
                   
                    A = 3'b100;
                    #(20*10);
                   
                    A = 3'b101;
                    #(20*10);
                   
                    A = 3'b110;
                    #(20*10);       
                   
                    A = 3'b111;
                    #(20*10);
                   
                    #(20*100);
                    $stop;
           
            end
           
    endmodule
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    难过
    2020-9-10 16:31
  • 0

    主题

    5

    帖子

    1031

    积分

    金牌会员

    Rank: 8Rank: 8

    积分
    1031
    发表于 2018-9-19 08:12:04 | 显示全部楼层
    tlm_init106 发表于 2018-9-18 22:43
    module Decoder3_8(
            A,
            y

    程序大致看了一下,没有一眼发现不对的地方,
    考虑是设置的问题。在项目顶层右键settings,找到EDA Tool Settings下面的Simulation
    看看里面的设置是否正确。
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-3-29 05:46 , Processed in 0.121394 second(s), 37 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表