芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 9943|回复: 6

【设计实例】数码管之侣——二进制转BCD

[复制链接]
  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 418

    主题

    769

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15805
    QQ
    发表于 2018-10-20 21:15:05 | 显示全部楼层 |阅读模式
    如果您还没有注册本论坛,将无法下载论坛中附件,但是注册论坛非常简单,使用微   信 扫码即可注册。
    也可以在小梅哥任意一个Q群内直接索取邀请码使用邀请码注册,小梅哥创建的任意一个群都可以。



    学过C语言的都知道,如果对于一个十进制的数字123,要想将其每一位分别提取出来,显示在数码管这样的设备上,一般需要使用如下的算法来实现

    bai = 123 / 100;
    shi = 123%100/10;
    ge = 123%10。


    这个算法在C语言里面用起来,虽然也耗费时间,但是大家一般也都这么用,在FPGA中,使用这个算法,虽然也能实现,但是及其耗费FPGA资源,需要用到除法器,求于器。所以我们在FPGa中一般不使用上述算法,当然,很多网上下载的各种“本科生毕业论文”里面,还是随处可见上述操作,看多之后也只能平常心对待了。 在FPGA中我们一般使用二进制转BCD算法,将二进制数据转换为BCD码,然后就可以直接将BCD码送给数码管显示了,附件为代码和测试工程,包括仿真脚本,大家先自行学习,又不懂的可以直接在本帖下提问。
    bin28_BCD32.rar (110.93 KB, 下载次数: 425)


    另外论坛里的等精度频率计就是使用此方法显示结果的。连接如下。
    【设计实例】AC620等精度频率计Cymometer
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=27748

    代码很简单,结构有点绕,这里也直接贴出来
    1. module bcd_single_modify(bcd_in, bcd_out);

    2.         input [3:0] bcd_in;
    3.         output [3:0] bcd_out;

    4.         reg [3:0] bcd_out;
    5.         
    6.         always @ (bcd_in)
    7.         begin
    8.                 if (bcd_in > 4)
    9.                         bcd_out = bcd_in + 2'd3;
    10.                 else
    11.                         bcd_out = bcd_in;
    12.         end

    13. endmodule


    14. module bcd_modify(data_in, data_out);

    15.         input [59:0] data_in;
    16.         output [59:0] data_out;
    17.         
    18.         bcd_single_modify bcd9(.bcd_in(data_in[59:56]), .bcd_out(data_out[59:56]));
    19.         bcd_single_modify bcd8(.bcd_in(data_in[55:52]), .bcd_out(data_out[55:52]));
    20.         bcd_single_modify bcd7(.bcd_in(data_in[51:48]), .bcd_out(data_out[51:48]));
    21.         
    22.         bcd_single_modify bcd6(.bcd_in(data_in[47:44]), .bcd_out(data_out[47:44]));
    23.         bcd_single_modify bcd5(.bcd_in(data_in[43:40]), .bcd_out(data_out[43:40]));
    24.         bcd_single_modify bcd4(.bcd_in(data_in[39:36]), .bcd_out(data_out[39:36]));
    25.         bcd_single_modify bcd3(.bcd_in(data_in[35:32]), .bcd_out(data_out[35:32]));
    26.         bcd_single_modify bcd2(.bcd_in(data_in[31:28]), .bcd_out(data_out[31:28]));
    27.         assign data_out[27:0] = data_in[27:0];

    28. endmodule



    29. module bin_to_BCD(bin, bcd);

    30.         input [27:0] bin;
    31.         output [31:0] bcd;
    32.         
    33.         wire [59:0] shift_reg [28:0];
    34.         
    35.         assign shift_reg[28] = {32'b0, bin};
    36.         
    37.         bcd_modify b27(.data_in(shift_reg[28]<<1), .data_out(shift_reg[27]));
    38.         bcd_modify b26(.data_in(shift_reg[27]<<1), .data_out(shift_reg[26]));
    39.         bcd_modify b25(.data_in(shift_reg[26]<<1), .data_out(shift_reg[25]));
    40.         bcd_modify b24(.data_in(shift_reg[25]<<1), .data_out(shift_reg[24]));
    41.         bcd_modify b23(.data_in(shift_reg[24]<<1), .data_out(shift_reg[23]));
    42.         bcd_modify b22(.data_in(shift_reg[23]<<1), .data_out(shift_reg[22]));
    43.         bcd_modify b21(.data_in(shift_reg[22]<<1), .data_out(shift_reg[21]));
    44.         bcd_modify b20(.data_in(shift_reg[21]<<1), .data_out(shift_reg[20]));        
    45.         
    46.         bcd_modify b19(.data_in(shift_reg[20]<<1), .data_out(shift_reg[19]));
    47.         bcd_modify b18(.data_in(shift_reg[19]<<1), .data_out(shift_reg[18]));
    48.         bcd_modify b17(.data_in(shift_reg[18]<<1), .data_out(shift_reg[17]));
    49.         bcd_modify b16(.data_in(shift_reg[17]<<1), .data_out(shift_reg[16]));
    50.         bcd_modify b15(.data_in(shift_reg[16]<<1), .data_out(shift_reg[15]));
    51.         
    52.         bcd_modify b14(.data_in(shift_reg[15]<<1), .data_out(shift_reg[14]));
    53.         bcd_modify b13(.data_in(shift_reg[14]<<1), .data_out(shift_reg[13]));
    54.         bcd_modify b12(.data_in(shift_reg[13]<<1), .data_out(shift_reg[12]));
    55.         bcd_modify b11(.data_in(shift_reg[12]<<1), .data_out(shift_reg[11]));
    56.         bcd_modify b10(.data_in(shift_reg[11]<<1), .data_out(shift_reg[10]));
    57.         bcd_modify b9(.data_in(shift_reg[10]<<1), .data_out(shift_reg[9]));
    58.         bcd_modify b8(.data_in(shift_reg[9]<<1), .data_out(shift_reg[8]));
    59.         
    60.         bcd_modify b7(.data_in(shift_reg[8]<<1), .data_out(shift_reg[7]));
    61.         bcd_modify b6(.data_in(shift_reg[7]<<1), .data_out(shift_reg[6]));
    62.         bcd_modify b5(.data_in(shift_reg[6]<<1), .data_out(shift_reg[5]));
    63.         bcd_modify b4(.data_in(shift_reg[5]<<1), .data_out(shift_reg[4]));
    64.         bcd_modify b3(.data_in(shift_reg[4]<<1), .data_out(shift_reg[3]));
    65.         bcd_modify b2(.data_in(shift_reg[3]<<1), .data_out(shift_reg[2]));
    66.         bcd_modify b1(.data_in(shift_reg[2]<<1), .data_out(shift_reg[1]));
    67.         assign shift_reg[0] = shift_reg[1]<<1;

    68.         assign bcd = shift_reg[0][59:28];

    69. endmodule
    复制代码




    回复

    使用道具 举报

  • TA的每日心情
    闭嘴
    2021-4-3 01:24
  • 1

    主题

    12

    帖子

    2177

    积分

    论坛元老

    Rank: 9Rank: 9Rank: 9

    积分
    2177
    发表于 2018-10-20 21:23:46 | 显示全部楼层
    给力,下载下来,学习一下
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2022-6-29 15:57
  • 13

    主题

    246

    帖子

    3625

    积分

    超级版主

    Rank: 8Rank: 8

    积分
    3625
    发表于 2018-10-21 10:17:04 | 显示全部楼层
    厉害厉害,学习了
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2018-10-26 16:19
  • 1

    主题

    10

    帖子

    66

    积分

    初级会员

    Rank: 3Rank: 3

    积分
    66
    发表于 2018-10-22 09:14:13 | 显示全部楼层
    学习学习                                                         
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2018-11-19 10:52
  • 0

    主题

    3

    帖子

    22

    积分

    新手上路

    Rank: 2

    积分
    22
    发表于 2018-11-19 11:00:10 | 显示全部楼层

    给力,下载下来,学习一下下载来跑跑看,谢谢小梅哥
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    郁闷
    2019-3-14 11:26
  • 5

    主题

    11

    帖子

    157

    积分

    初级会员

    Rank: 3Rank: 3

    积分
    157
    发表于 2018-12-20 21:28:01 | 显示全部楼层
    想请问一下比如说输入数据11101011,如果要转成BCD,先是不是写成20位的0000,1110,1011,1110,1011,然后从高位取四位,进行加三转换,但是比如说这个第二个四位是1110,加四位以后成了10001,那这三个四位最后分别是0000,10001,1111,怎么转成BCD呢,结果怎么都不对,实在看了很久,不知道如何理解,望指教。谢谢
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2018-12-20 23:13
  • 3

    主题

    17

    帖子

    29

    积分

    新手上路

    Rank: 2

    积分
    29
    发表于 2018-12-20 23:16:00 | 显示全部楼层
    这种写法以前还没见过,学习学习,谢谢
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-3-29 05:38 , Processed in 0.122352 second(s), 41 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表