芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 8031|回复: 0

【Modelsim常见问题】仿真不出波形,波形窗口无内容

[复制链接]
  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 423

    主题

    782

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15854
    QQ
    发表于 2019-1-3 10:36:13 | 显示全部楼层 |阅读模式
    问题描述

    这是很多新手在开始进行Modelsim仿真学习时最常遇到的问题,往往点击运行仿真后,Modelsim软件能够打开,但是无法正确出现波形内容,或者波形窗口出现,但是没有任何信号和波形。



    004.png

    问题出现原因
             你的代码编写有误,或者你的仿真脚本(nativelink)设置有误,或者其他原因
    解决方法
             遇到这种问题,解决思路很简单,查看Modelsim仿真软件的Transcript窗口中的报告内容。这个窗口里面的内容有很多,是可以通过滑动条或者鼠标滚轮上下翻动的,找到报error的信息,双击,如果是代码错误,就可以自动定位到错误位置。一定要看这个信息窗口,还要翻滚着看,把里面的error、waring都分析一下。搞不定的再到我们的客户群里面截图这个信息框的内容来提问。


    005.png
    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-4-23 18:37 , Processed in 0.109248 second(s), 34 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表