芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 6244|回复: 0

【10028】: Can't resolve multiple constant dri...

[复制链接]
  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 419

    主题

    771

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15810
    QQ
    发表于 2019-6-12 22:14:43 | 显示全部楼层 |阅读模式
    Error (10028): Can't resolve multiple constant drivers for net "xxx" at aaa.v(123)




    这个报错,其实非常简单,直接翻译过来就懂了,啥意思呢?说是一个寄存器在多个always块中被赋值。比如:

    1. module exp1(
    2.         a,
    3.         b,
    4.         en1,
    5.         en2,
    6.         o
    7. );
    8.         
    9.         reg ra;
    10.         wire en1,en2;
    11.         
    12.         always@(posedge Clk)
    13.         if(en1)
    14.                 ra <= a;
    15.                
    16.         always@(posedge Clk)
    17.         if(en2)
    18.                 ra <= b;        
    19.                
    20.         assign o = ra;

    21. endmodule
    复制代码




    写代码之前必须搞清楚,每一段always对应最终输出都是一个寄存器,这是一个寄存器,一个寄存器只有一个数据输入端口,多个always里面对同一个寄存器赋值,那么到底让这个寄存器听谁的?所以,这种写法实际电路是根本无法实现的,因此软件就会编译报错。




    遇到这种问题,查找起来非常简单,quartus软件有双击代码高亮的功能,直接双击该信号,然后上下翻看,找到每一个对该寄存器赋值的位置,查看是否在同一个always中,如果不是,需要修改代码将多个地方的合并到一个always中。




    还是那句话,做FPGA设计,电路概念很重要,不能做语法党。


    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-3-29 21:42 , Processed in 0.215219 second(s), 32 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表