芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 36224|回复: 0

【10170】: Verilog HDL syntax error…… near text ï

[复制链接]
  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 418

    主题

    769

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15805
    QQ
    发表于 2019-6-19 22:59:30 | 显示全部楼层 |阅读模式
    使用论坛自助解决问题说明:
    1、遇到问题看报错信息,一般每个报错和警告信息前面都有一个编号,如10170,这种情况请直接在本论坛以该数字为关键字进行搜索,大概率能找到相关的解释说明的帖子。
    2、一些无法使用代码表述的错误,建议按照分类搜索,比如是使用quartus过程出现的,,直接论坛搜索“quartus”关键词,查找与quartus相关的所有帖子,然后自己看哪个帖子的标题和你的错误最接近,然后查看。
    3、自己总结归纳,提取关键词,然后在论坛搜索。





    Error (10170): Verilog HDL syntax error at exp1.v(8) near text ï
    Error (10170): Verilog HDL syntax error at exp1.v(8) near text "ï";  expecting ";"
    Error (10170): Verilog HDL syntax error at exp1.v(8) near text ¼
    Error (10170): Verilog HDL syntax error at exp1.v(8) near text ›

    10170.png

    1. [/size]
    2. [size=3]module exp1(
    3.         a,
    4.         b
    5. );
    6. input a;
    7. output b;

    8. assign b = ~a;

    9. endmodule

    10. [/size]
    11. [size=3]
    复制代码



    这个报错原因很简单,就是代码中出现了中文字符。
    编辑器是不支持中文的,如果你写代码不小心输入了中文格式的标点符号,就会给你报这个错误。

    在实际解答问题的过程中发现,大部分人即使告诉他哪里出现了中文符号的可能,他也找不到,所以解决这个问题的最简单方法按照以下几步进行:
    1、看报错信息,里面报错说的是哪个文件的哪一行,例如上面的报错中“exp1.v(8) ”代表了exp1.v这个文件的第8行。所以就去这个文件的第8行前后看代码。
    2、自己先把所有的标点符号都替换一遍,看能不能解决问题
    3、如果替换解决不掉,就把报错行以及报错行的上一行和下一行都删掉,然后重新写,问题大概率能解决。

    不要问为啥不给个科学合理的方法,而用这种粗暴的方法,一是因为很多人,找半天也找不到到底哪个符号错了,他们看不明白,二是有时候也遇见过有些中文字符由于特殊原因,没有显示成文本,所以看不到,无法排错,所以简单,粗暴,最,有效。


    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-3-28 19:30 , Processed in 0.122937 second(s), 35 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表