芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 10456|回复: 1

仿真报错:near text "if"; expecting operand

[复制链接]
  • TA的每日心情
    难过
    2019-8-5 22:11
  • 1

    主题

    1

    帖子

    13

    积分

    新手入门

    Rank: 1

    积分
    13
    发表于 2019-8-5 22:15:44 | 显示全部楼层 |阅读模式
    module test(clk,rst_n,dv,data,num);
      input clk;
      input rst_n;
      input dv;
      input [7:0]data;
      output [3:0]num;

      reg [3:0]cnt;//寄存器类型变量
      reg [2:0]state;//寄存器类型变量

      always@(posedge clk or negedge rst_n)
        if(!rst_n)begin
          state<=3'd0;
                    cnt<=4'd0;
        end  
        else begin

                    case(state)
                           
            if(data=="w")
                        state<=3'd1;
            else
              state<=3'd0;
                     
           
               
                    if(data=="e")
                      state<=3'd2;
                    else if (data=="w")
            state<=3'd1;
                    else
                      state<=3'd0;
           
                              
                    if(data=="l")
                      state<=3'd3;
                    else if (data=="w")
            state<=3'd1;
                    else
                      state<=3'd0;
             
                     
                     
                    if(data=="c")
                      state<=3'd4;
                    else if (data=="w")
            state<=3'd1;
                    else
                      state<=3'd0;
                      
                           
                    if(data=="o")
                      state<=3'd5;
                    else if (data=="w")
            state<=3'd1;
                    else
                      state<=3'd0;
           
                   
                             
                    if(data=="m")
                      state<=3'd0;
                      cnt<=cnt+1'd1;
                    else if (data=="w")
            state<=3'd1;
                    else
                      state<=3'd0;
                   
                    default:state<=3'd0;
             endcase
      end         
    endmodule
    仿真报错:Error (10170): Verilog HDL syntax error at test.v(21) near text "if";  expecting an operand
    请问是哪里出问题了呢?
    回复

    使用道具 举报

  • TA的每日心情
    开心
    2022-7-16 09:22
  • 0

    主题

    1

    帖子

    1883

    积分

    金牌会员

    Rank: 8Rank: 8

    积分
    1883
    发表于 2019-8-8 20:58:38 | 显示全部楼层
    case格式错了吧,case不是这么用的呀,if前面缺少了他们各自所在的状态。
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-4-25 07:31 , Processed in 0.109507 second(s), 32 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表