芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 4327|回复: 1

请问这个程序来第一个上升沿时计数器是计为1还是0

[复制链接]

该用户从未签到

2

主题

2

帖子

23

积分

新手上路

Rank: 2

积分
23
发表于 2020-4-20 11:29:24 | 显示全部楼层 |阅读模式
always @ (posedge clk or negedge rst_n)                                                                       
        if(!rst_n)
        cnt<= 25'd0;
else if(cnt==12_499_999)       
        cnt <= 25'd0;
        else
        cnt<= cnt+1'b1;                               



回复

使用道具 举报

  • TA的每日心情
    开心
    2021-2-25 19:12
  • 1

    主题

    13

    帖子

    1098

    积分

    金牌会员

    Rank: 8Rank: 8

    积分
    1098
    发表于 2020-4-22 11:51:11 | 显示全部楼层
    你这个计数应该是从0开始的,如果你不知道到底是从几开始的,你可以给个时钟信号,仿真看看就清楚了,希望对你有帮助
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-4-19 17:27 , Processed in 0.179883 second(s), 32 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表