芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 2217|回复: 0

仿真描述了各信号,却连时钟都没有输出的一种可能

[复制链接]
  • TA的每日心情
    开心
    2021-12-31 09:03
  • 71

    主题

    79

    帖子

    967

    积分

    高级会员

    Rank: 6Rank: 6

    积分
    967
    发表于 2021-7-23 18:20:12 | 显示全部楼层 |阅读模式
    很多初学者在进行代码仿真时,会有如下疑问,为什么我和教程保持一致,时钟也进行了描述,却在仿真时没有波形输出?这里提出一种可能的情况:模块自己例化了自己,如下图:
    QQ图片20210723180330.png


    QQ图片20210723180323.png

    究其原因,是因为在仿真的时候,初学者没有彻底理解仿真的含义、模块的含义以及例化的含义。在verilog硬件设计过程中,每一个模块的功能都是相互独立的,在一个工程中,如果模块名相同,则模块功能相同,这也适用于仿真过程。如果在例化的过程中,仿真文件名和模块名与设计源文件名和模块名相同,则在工程中必然发生混乱,无法正确得到仿真结果。
    为保持良好的编程习惯,建议仿真文件和模块名,命名为设计源文件名后加"_tb"的形式。如:源文件名为led,则建议仿真文件名为led_tb以此作为区分,同时能够快速定位功能。
    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-4-20 18:05 , Processed in 0.143735 second(s), 34 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表