芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 4751|回复: 0

VIVADO关联第三方仿真软件Modelsim方法

[复制链接]
  • TA的每日心情
    开心
    2021-12-31 09:03
  • 71

    主题

    79

    帖子

    967

    积分

    高级会员

    Rank: 6Rank: 6

    积分
    967
    发表于 2021-9-18 15:29:24 | 显示全部楼层 |阅读模式
    Vivado 设置关联使用第三方仿真软件Modelsim
    Vivado软件自带有仿真功能,该功能使用还是比较方便的,初学者可以直接使用自带的仿真功能。对于想使用Modelsim进行关联仿真的,下面将提供Vivado 设置关联使用第三方仿真软件Modelsim的方法步骤,具体如下。
    1、打开vivado 2018.3软件,在Tools下点击Settings…
    035.png
    2、进入Settings界面,在界面左侧窗口找到3nd Party Simulations,然后找到设置第三方仿真工具安装路径和编译库文件目录的地方。
    036.png
    3、在Install Paths下的Modelsim通过点击右侧“…”,选择已经安装好modelsim的路径,在Default Compiled Library Paths下的Modelsim通过点击右侧“…”,选择设置一个目录文件夹,这里我在modelsim安装目录下新建了vivado_lib的文件夹,并指定到这里。读者可根据自己的情况进行设置。这里设置的路径是Vivado仿真编译库文件存放的地址,目前还没有对库文件进行编译生成仿真所需的文件,所以可自己指定(注意不要指向带空格的路径),后面编译库文件生成仿真所需文件时,将目录再设置到这个目录就行。然后点击OK。
    037.png
    4、编译Vivado的库供第三方仿真工具Modelsim仿真使用。在Tools下点击Compile Simulation Libraries…
    038.png
    5、进入Complile Simulation Libraries界面,需要进行如下一些设置。
    ○1 我们使用的第三方仿真工具是modelsim,在Simulator处选择Modelsim Simulation;
    ○2 Language默认选择All,这里可以根据实际使用情况选择,也可以选择Verilog或VHDL;
    ○3 Library默认选择All;
    ○4 器件就根据实际使用情况进行选择,我们使用的开发板是Artix-7,可以只勾选这个就可以,这里选择的器件系列越多,Compile生成库的时间越长,若需要选择全部的器件,建议可以选择在空闲时间进行编译。
    ○5 编译库存放路径,这里路径默认是我们在前面3nd Party Simulations 上设置的路径,前面设置过了,这里默认就可以。
    ○6 仿真软件Modelsim路径,这里路径默认是我们在前面3nd Party Simulations 上设置的路径,前面设置过了,这里默认就可以。
    039.png
    其他地方保持默认,点击Compile,出现如下界面,开始编译vivado库生成modelsim仿真库了,这个过程大概需要40分钟,如果选择全部器件,时间会更长。
    040.png
    6、仿真库编译完成后,想要使用Vivado自动关联调用Modelsim进行仿真,还需在Tool-->Setting-->Simulation下对仿真进行如下设置。这里的设置需要在打开一个工程后,打开工程后才会在Settings下有Simulation选项。需进行如下设置。
    ○1 选择仿真我们使用的第三方仿真软件modelsim
    ○2 语言根据实际情况进行选择,这里可供选择的有Verilog、VHDL、Mixed,保持默认即可。
    ○3 保持默认就OK
    ○4 仿真的顶层文件,选择你要仿真的testbench文件,一般在你去点击vivado软件的Run Simulation 时它会默认选择要仿真的顶层testbench文件,自己在仿真时可核对下是否有误。
    ○5 选择我们存放编译Vivado仿真库的路径,这里会根据之前生成编译库默认为编译库的路径,我们只要核对下是否有误,有问题就改一下,没有问题就保持默认就OK。
    041.png
    7、整个设置就完成了,在需要进行仿真时,点击Run Simulation -->Run behavioral Simulation或其他类型仿真就会自动弹出Modelsim界面。
    042.png

    至此,Vivado 设置关联使用第三方仿真软件Modelsim就完成了,可以比较方便的通过Vivado调用Modlsim进行仿真。对于初学者,建议可以直接使用Vivado自带的仿真功能。后面的例程基本也是采用Vivado自带的仿真功能进行仿真验证。

    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-3-29 20:43 , Processed in 0.111841 second(s), 34 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表