芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 3076|回复: 0

PLL输出多路时钟时设置不成功原因分析和解决方案

[复制链接]
  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 421

    主题

    778

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15840
    QQ
    发表于 2021-10-29 17:20:36 | 显示全部楼层 |阅读模式
    问题描述

    当使用Altera的PLL输出多个时钟时,会出现配置不成功,也就是有的输出提示无法实现的情况,如下图所示:
    PLL报错.jpg


    问题原因
    PLL的工作原理是对一个输入时钟信号进行倍频再分频,通过不同的分频系数得到不同的输出频率。但是,PLL的倍频范围是有限的,不可能无限高。
    所以,当一个PLL要输出多路时钟时,这多路时钟的公倍数可能已经超出了PLL能够接受的倍频范围,所以导致无法输出所有期望的频率。
    所以常常出现,PLL只输出一路或者两路时钟能实现的频率,再加一路输出后,就无法实现了。


    解决方案
    • 如果对目标频率精准度要求不那么高,可以尝试在该频率附近寻找能够输出的频率
    • 如果目标频率要求很高,而其他几路输出的频率精度要求不高,可以尝试修改其他几路输出时钟的频率以给该频率创造条件。
    • 另一个方法,就是再使用一个PLL。

    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-4-16 11:59 , Processed in 0.231555 second(s), 35 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表