芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
收藏本版 (15) |订阅

学习提问与解答专区 今日: 0|主题: 262|排名: 64 

作者 回复/查看 最后发表
一些ddr3的资料 attachment  ...2 芯中 2019-7-23 1016689 兄弟我开van笑的 2021-7-8 10:12
串行结构FIR滤波器设计 新人帖 attachment cyy13623649348 2019-8-25 111383 170 2021-6-17 21:43
请教关于gmii接口 新人帖 小小小小小风吹 2021-6-9 14207 admin 2021-6-10 11:42
运行警告如何修改 新人帖 wx_swTNBS2q 2021-6-6 04758 wx_swTNBS2q 2021-6-6 21:18
IIC写EEPROM疑问 新人帖 牛牛 2021-5-28 04420 牛牛 2021-5-28 14:53
用的简易的计算器源码不知道为什么报错 新人帖 attach_img Goldastanson 2021-5-10 05970 Goldastanson 2021-5-10 19:15
老哥们为什么不能配置IP核 新人帖 attach_img wx_UZ1v96aZ 2021-4-28 16045 wx_UZ1v96aZ 2021-4-29 19:27
提问:使用FPGA进行FFT变换后通过VGA将数输送到显示屏显.... attach_img xiaoxiao 2021-1-10 25967 xiaoxiao 2021-4-25 18:19
基于FPGA的8位十进制数字频率计实现 新人帖 attachment  ...2 MCUlover666 2018-5-4 1329626 Zero_s7ecJ 2021-3-25 19:46
开发XILINX Virtex-5 的必备条件有哪些 新人帖 郭仁昭 2021-1-22 24745 sun327130352 2021-2-18 12:13
求xilinx的tri mode ethernet mac IP核教程 孤君 2021-2-7 05292 孤君 2021-2-7 16:13
AC508MMC_CORE型SOC核心板应用笔记 attach_img admin 2021-1-10 04595 admin 2021-1-10 21:04
AC620和ACX720开发板数码管上电显示乱码的原理与解释 attach_img 商震 2020-12-29 07007 商震 2020-12-29 09:52
基于AC601的双通道AD采集USB平台 新人帖 attachment nomenome 2018-12-23 915654 世界杯装奶茶 2020-12-22 19:22
错误Synth 8-5833 attach_img 商震 2020-12-14 04044 商震 2020-12-14 11:18
求助帖 新人帖 Parderl 2020-12-9 06755 Parderl 2020-12-9 10:18
AD9226官方文件的疑问 新人帖 attach_img Tao 2020-12-8 15601 Tao 2020-12-8 10:46
用AC601做LVDS点panel 新人帖 attachment xiaosong06 2018-7-18 815621 吉不那拉 2020-12-5 14:08
模块结构图是用什么工具画的? 新人帖 attach_img wangzh92429 2020-11-25 25208 wangzh92429 2020-11-25 13:11
AC620_SDRAM_OV5642_TFT800图象处理例程 attachment augustedward 2018-5-16 524432 augustedward 2020-11-20 21:19
下一页 »

快速发帖

还可输入 160 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

GMT+8, 2024-4-25 03:32 , Processed in 0.087047 second(s), 44 queries .

Powered by Discuz! X3.4

© 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

返回顶部 返回版块