芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 36843|回复: 3

【工具教程】Quartus II 13软件安装和破解超详细说明

[复制链接]
  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 423

    主题

    782

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15854
    QQ
    发表于 2018-10-27 12:49:48 | 显示全部楼层 |阅读模式
    注意,现在很多用户的电脑都自带了杀毒软件,各种安全软件,破解器由于其自身工作机制决定了,是无法通过安全软件的验证的,很多时候,你在解压破解工具的压缩包时候,就马上被杀毒软件发现并删除了,有的是在运行杀毒软件的时候被发现并立即删除了。所以请大家务必关闭各种安全软件之后再进行破解,并检查你的安全软件的防护记录里有没有查杀记录,如果有,恢复了再破解一次。

    1. 运行破解工具之前,先将quartus软件关闭,否则是无法执行破解的

    最近发现很多人破解的时候,不把已经打开的quartus软件全部关闭,这样的话,结果就是,破解时候会提示“ 无法访问该文件“,但是会生成license,很多用户以为生成了license就算是破解成功了,实际上并没有,这样就会导致quartus并没有真正被攻破,识别不了生成的破解版License。这种情况,关掉quartus软件重新破解一下就可以了。

    2. 现在电脑都很多个网卡了,往往quartus软件显示的第一个网卡号不一定好使,选择网卡号时需要手动确认以选择你当前正在使用的网卡,确认网卡号的方法如下帖子所示。https://jingyan.baidu.com/article/922554468bfa65851748f457.html



    3. License 文件中两个地方网卡号需要替换,是否都替换了,如下图所示。

    破解失败2.jpg

    4、修改License时候,“HOSTID=”的等号后面,需要紧跟网卡号,一定不要有空格,一定不要有空格
    不能有空格.png

    5、只要复制一个网卡号,不要全部贴进去。 另外, 替换网卡号时候, =后面不要加空格。
    破解失败3.jpg





    6、如果你电脑使用过程中某一天突然手动禁用了之前破解时网卡号对应的网卡,则破解又会失效,此时解决方案有2,一是将此网卡重新启用,二是参照上面第二条的方法,重新确认使用的网卡号,修改License文件中的网卡号。(不用重新破解,只需要修改下license中的网卡号为你当前正在使用的即可。)


    7.如果你修改了License.dat文件中的网卡号,记得一定把Quartus软件关闭了再重新打开,不然可能不生效的哟。


    8. License 文件换一个与 Quartus 软件不相关的路径,例如 D 盘根目录下,不要放在软件安装的相关目录试试。比如我自己的就是在“D:\altera\license.dat”,你放到“D:\altera\13.0\quartus”甚至更低一级目录大概率会出错。


    9. 64 位系统:确认你是用的 X64 破解器破解 bin64 下的软件,使用软件时打开的是带64bit 标识的软件快捷图标。
    破解失败1.png

    10. 32 位系统:确认你是用的 X86 破解器破解 bin 下的软件,使用软件时打开的是 32 标识的软件快捷图标。


    以下为安装和破解文档 Quartus II 13.0安装和破解指导书.pdf (1.79 MB, 下载次数: 2086)

    以下是破解工具
    Crack_QII_13.0_SP1_Windows密码12345.zip (43.44 KB, 下载次数: 1667)

    如果大家在破解过程中遇到任何问题,欢迎在本帖子下回复,也可以查看下本帖回复里面有没有相同的问题并得到解决的。



    以下链接为软件下载云盘连接
    【软件工具】Quartus II13.0带modelsim集成包
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=27692
    (出处: 芯路恒电子技术论坛)

    下述连接为Quartus软件安装和破解教程,上集讲安装,下集讲破解,由网友fzwwj95贡献。
    https://pan.baidu.com/s/1hYz-yZZX6syAaY-1wnCsuQ


    如果需要破解Modelsim,可以看下面的帖子
    【工具教程】ModelSim Altera软件非免费版本的破解方法
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=27737
    (出处: 芯路恒电子技术论坛)



    如果破解还有啥问题,可以看看下面的帖子里附带的视频教程












    回复

    使用道具 举报

  • TA的每日心情
    开心
    2022-6-29 15:57
  • 13

    主题

    246

    帖子

    3625

    积分

    超级版主

    Rank: 8Rank: 8

    积分
    3625
    发表于 2018-10-27 15:11:37 | 显示全部楼层

    回帖奖励 +1 金钱

    厉害了!,这么全
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    慵懒
    2020-8-21 07:55
  • 0

    主题

    1

    帖子

    8

    积分

    新手入门

    Rank: 1

    积分
    8
    发表于 2020-7-25 14:28:33 | 显示全部楼层
    支持破解,支持学习,↖(^ω^)↗
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    闭嘴
    2022-7-11 09:42
  • 0

    主题

    6

    帖子

    146

    积分

    初级会员

    Rank: 3Rank: 3

    积分
    146
    发表于 2021-11-12 14:06:09 | 显示全部楼层
    我按照步骤操作了,为什么还出现错误?
    Warning (292000): FLEXlm software error: Invalid (inconsistent) license key.  The license key and data for the feature do not match.  This usually happens when a license file has been altered. Feature:       quartus License path:  D:\Files\license.dat FLEXnet Licensing error:-8,523 For further information, refer to the FLEXnet Licensing End User Guide, available at "www.macrovision.com".
    Error (119013): Current license file does not support the EP4CE10F17C8 device
    Error: Quartus II 64-Bit Fitter was unsuccessful. 1 error, 1 warning
            Error: Peak virtual memory: 4740 megabytes
            Error: Processing ended: Fri Nov 12 14:01:28 2021
            Error: Elapsed time: 00:00:01
            Error: Total CPU time (on all processors): 00:00:01
    Error (293001): Quartus II Full Compilation was unsuccessful. 3 errors, 1 warning
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-4-24 17:52 , Processed in 0.123374 second(s), 38 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表