芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 6167|回复: 0

使用MATLAB将波形数据存入TXT

[复制链接]
  • TA的每日心情
    开心
    2022-8-14 12:15
  • 3

    主题

    3

    帖子

    94

    积分

    初级会员

    Rank: 3Rank: 3

    积分
    94
    发表于 2019-9-3 11:42:02 | 显示全部楼层 |阅读模式
    本帖最后由 cyy13623649348 于 2019-9-3 11:41 编辑

    这里使用MATLAB产生两个不同频率的正弦信号s1与s2,将两个信号相加得到s,即s=s1+s2,最后将信号s的数据存入TXT文件。
    1. f1=1000;     %信号1频率为1kHz
    2. f2=3000;    %信号2频率为3kHz
    3. Fs=20000;  %采样频率为20KHz
    4. N=12;         %量化位数
    5. %产生信号
    6. t=0:1/Fs:0.1;
    7. c1=2*pi*f1*t;
    8. c2=2*pi*f2*t;
    9. s1=sin(c1);%产生正弦波
    10. s2=sin(c2);%产生正弦波
    11. s=s1+s2;   %产生两个单载波合成后的信号
    12. %产生随机序列信号

    13. %归一化处理
    14. s=s/max(abs(s));

    15. %12比特量化
    16. Q_s=round(s*(2^(N-1)-1));

    17. %求信号的幅频响应
    18. m_s=20*log(abs(fft(Q_s,1024)))/log(10); m_s=m_s-max(m_s);

    19. %设置幅频响应的横坐标单位为Hz
    20. x_f=[0:(Fs/length(m_s)):Fs/2];
    21. %只显示正频率部分的幅频响应
    22. mf_s=m_s(1:length(x_f));

    23. %绘制时域图形
    24. figure(1)
    25. plot(t,s,'-.');
    26. set(gca,'XLim',[0 0.01]);%x轴的数据显示范围
    27. xlabel('时间');ylabel('归一化幅值');title('Matlab仿真合成信号时域图');
    28. grid;
    29. %绘制幅频响应曲线
    30. figure(2)
    31. plot(x_f,mf_s,'-.');
    32. xlabel('频率(Hz)');ylabel('幅度(dB)');title('Matlab仿真合成单频信号的频谱');
    33. legend('输入信号频谱');
    34. grid;

    35. %将生成的数据以十进制数据格式写入txt文件中
    36. fid=fopen('J:\E4_7_Int_s.txt','w');   %自己改一下存放目录,这里我存在J盘
    37. fprintf(fid,'%8d\r\n',Q_s);
    38. fprintf(fid,';');
    39. fclose(fid);

    40. %将生成的数据以二进制数据格式写入txt文件中
    41. fid=fopen('J:\E4_7_Bin_s.txt','w');
    42. for i=1:length(Q_s)
    43.     B_s=dec2bin(Q_s(i)+(Q_s(i)<0)*2^N,N)
    44.     for j=1:N
    45.        if B_s(j)=='1'
    46.            tb=1;
    47.        else
    48.            tb=0;
    49.        end
    50.        fprintf(fid,'%d',tb);  
    51.     end
    52.     fprintf(fid,'\r\n');
    53. end
    54. fprintf(fid,';');
    55. fclose(fid);
    复制代码
       下面是运行后产生的波形和频谱:
    s=s1 s2.jpg 频谱.jpg

    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-3-28 19:17 , Processed in 0.108950 second(s), 34 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表