芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 9203|回复: 0

【Modelsim常见问题】仿真输出波形都是蓝色高阻态

[复制链接]
  • TA的每日心情
    慵懒
    2023-7-31 14:22
  • 20

    主题

    36

    帖子

    1507

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    1507
    发表于 2019-9-18 14:24:32 | 显示全部楼层 |阅读模式
           刚开始学习写仿真的时候很多人都有可能遇到了仿真输出波形都是蓝色高阻态的这个问题
    根源没有定义位宽(位宽不匹配)
           很多人一不下心就忘记定义变量的位宽了,有的是在代码模块里面定义了位宽,但是在仿真tb里面的时候忘记了定义位宽,或者是在仿真tb里面定义了位宽,但是代码模块里面又忘记了定义位宽,这样就会出现这个问题

    20190918140542.jpg

    20190918141025.jpg

    其实这个问题我们可以从问题往上找,一步步定位就可以发现错误的原因。先看仿真tb代码

    20190918141315.jpg

    仿真tb部分这个里面的这个变量没有问题,我们再进一步往上找,找被测试的代码模块

    20190918141720.jpg

    我们可以看到问题的根源是在被测试的代码模块里面没有定义位宽,虽然cont定义的是32位的,但是Cont_cont是1位的位宽,在19行虽然赋值了,但是位宽不匹配,所以就会出现高31位是蓝色的,其实我们可以把这个波形展开看一下还可以发现最低那一位还是有数据的,高31位是蓝色的高阻态


    &#body;
    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-3-29 21:25 , Processed in 0.166972 second(s), 33 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表