芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 5329|回复: 0

【Vivado常见问题】VIVADO常见的仿真文件报错及可能原因解析

[复制链接]
  • TA的每日心情
    开心
    2021-12-31 09:03
  • 71

    主题

    79

    帖子

    967

    积分

    高级会员

    Rank: 6Rank: 6

    积分
    967
    发表于 2020-10-26 21:17:52 | 显示全部楼层 |阅读模式
    HOZ]]ZND804N}TY66{__S5I.png
    当我们有时候需要使用仿真手段验证逻辑正确性的时候,进行仿真却被软件报错,笔者归纳总结一下有如下原因:
    1.tb文件例化错误,特别是底层文件向上例化书写不正确,tb文件是不会出现预警蚯蚓线的。
    2.仿真文件自身逻辑错误,如出现信号被重复调用,仿真文件逻辑冲突等。
    3.参数设置冲突,比如和被测文件宏定义内容冲突。
    4.tb文件例化与被测文件不对应。
    目前由于才疏学浅总结了这么几点,欢迎各位同学积极补充,舔砖加瓦

    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-4-19 23:34 , Processed in 0.110294 second(s), 34 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表