芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 3266|回复: 0

Vivado开发工具中时钟信号的原语IBUFG的使用

[复制链接]
  • TA的每日心情
    开心
    2021-12-31 09:03
  • 71

    主题

    79

    帖子

    967

    积分

    高级会员

    Rank: 6Rank: 6

    积分
    967
    发表于 2021-8-16 10:38:36 | 显示全部楼层 |阅读模式
    使用IBUFG原语,可以提高输入时钟信号的驱动能力,可以改善信号在fpga中传输的时序。简单来说,通过该原语,可以获得一个更加稳定可靠的时钟信号,特别是在FPGA输入时钟引脚,需要应用于多扇出、高速数据传输和处理等场合。
    例如:差分时钟芯片输入的100MHz时钟,作为FPGA的全局时钟:
    IBUFGDS #(
    .DIFF_TERM ("TRUE" ),
    .IBUF_LOW_PWR ("FALSE")
    ) u_ibufg_clk_100 (
    .I (FP_CLK_100M_P),
    .IB (FP_CLK_100M_N),
    .O (clk_100m )
    );

    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-4-28 19:40 , Processed in 0.104129 second(s), 31 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表