芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 12725|回复: 1

【产品资料】【FPGA开发板】ACC2361核心板暨AC108开发板资料合集Cyclone10LP 10CL025YU256I7G芯片

[复制链接]
  • TA的每日心情
    开心
    2021-12-31 09:03
  • 71

    主题

    79

    帖子

    967

    积分

    高级会员

    Rank: 6Rank: 6

    积分
    967
    发表于 2022-1-4 11:48:00 | 显示全部楼层 |阅读模式


    产品介绍

    ACC2361核心板

    ACC2361核心板为武汉芯路恒科技有限公司基于Intel Cyclone 10 LP系列的FPGA开发的一款低成本邮票孔FPGA核心板。核心板使用Intel Cyclone 10 LP系列FPGA,具体型号为10CL025YU256I7G,工业级温度范围,适合工业和商业客户直接集成到自己的系统中使用。
    ACC2361核心板功能介绍.png

    AC108开发板

    AC108开发板上基于ACC2361核心板设计的一款FPGA开发板,开发板上集成了HDMI、千兆以太网,I2S音频编解码器,数码管,i2c、摄像头接口等众多功能。方便客户对ACC2361核心板进行快速功能评估和产品原型开发。

    AC108开发板功能介绍.png

    核心板符号图

    ACC2361核心板封装.png


    产品原理图
    1、AC608_10LP核心板原理图(AC108开发板由该核心板加底板构成): ac608_10LP.pdf (404.44 KB, 下载次数: 546)
    2、AC108开发板原理图(AC108开发板由该底板加AC608_10LP核心板构成): AC108_C10LP_DEV.pdf (1.91 MB, 下载次数: 477)

    PCB设计
    为方便大家使用核心板快速设计自己的评估底板,这里上传了我们基于本核心板的评估板(AC108)的设计源文件,设计源文件使用Altium Designer软件设计,大家可以直接使用Altium Designer软件打开并进行二次开发。底板上的电路我们都是经过了长期实践验证的,大家可以放心使用。
    评估板设计源文件,基于AD软件:
    AC108-HQ24167-2321390.zip (11.4 MB, 下载次数: 542)
    ACC2361-Cadence封装.zip (9.08 KB, 下载次数: 171)
    ACC2361-立创eda封装.rar (171.67 KB, 下载次数: 192)
    评估板设计文件.jpg



    教材文档/用户手册
    暂未发布,敬请期待

    管脚信息表/图

    AC108 FPGA开发板管脚信息表.xlsx (19.13 KB, 下载次数: 284)

    开发板背面模拟图.jpg



    设计实例

    基础Verilog例程:


    OV5640摄像头采集HDMI显示例程:


    OV5640摄像头采集以太网传输PC显示例程:


    资料5、ov5640_rgmii_udp_720p_1080p_and_tool内容为四个实验,分别为单目OV5640_hdmi_720P,单目OV5640以太网RGMII的720P,双目O5640以太网RGMII的720P,以及单目OV5640的以太网rgmii的1080P网口摄像头显示程序及其配套显示工具。(附资料包:ov5640_rgmii_udp_720p_1080p_and_tool)



    常见问题

    问:开发软件使用哪个版本
    答:我们所有案例都是使用Quartus prime standard 17.1版本开发,推荐使用和我们一样的版本。


    问:开发软件从哪里下载
    答:如果使用Quartus prime standard 17.1版本,可以到下述链接下载,我们里面已经提供好了对应的License和谐工具。其他版本请自行想办法。
    【软件工具合集2】各种各厂家FPGA开发软件下载地址
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=28768

    问:核心板供电需要多少V,多少A
    答:核心板供电电压需要为3.24~3.36V,持续供电电流不低于500mA,有条件的情况下尽量不低于1A。推荐使用DCDC供电方案

    问:我使用Cadence设计,请问能否提供Cadence软件的核心板原理图和PCB封装
    答:可以联系淘宝客服索取AD、Cadence、立创EDA的原理图和PCB封装文件。



    1、 Quartus18.1全编译报错:EDA Netlist Writer was unsuccessful
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=28677

    2、ov5640摄像头千兆以太网工程从Xilinx移植到Altera屏幕颜色异常
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=28685

    3、以太网1080P摄像头工程如何在计算机上显示?
    以太网1080P摄像头需使用小梅哥UDP摄像头V3.2版本工具进行显示,这个版本比以前的版本增加了1920X1080P的分辨率显示范围。由于软件边框导致图像压缩无法在1080P显示器满画幅显示,所以使用该软件会有紫色栅格的杂色。另外我们还提供了ETH_Display工具,可以优化显示的紫色栅格杂色。更详细说明可以参考论坛内帖子:以太网图像传输PC显示案例上位机软件显示紫色网格原因

    4、以太网图像传输PC显示案例上位机软件显示紫色网格原因
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=28688

    5、FPGA逻辑工程涉及以太网的实验配置要点及注意事项
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=28565


    技术支持服务
    如果您计划基于本公司核心板开发自己的产品或项目,可联系我们获取专属技术支持。我们可为您提供软硬件参考设计,调试经验,技术答疑,以及商务批量采购报价等服务。确保您能以最快的速度使用上该核心板。

    技术支持获取方法:
    1.        搜索微信公众号“小梅哥电子”关注并进入(也可扫描图中二维码)。
    2.        给公众号发送任意消息,即可与我们的客户服务经理在线联系。
    3.        客户经理会根据您描述的具体需求,为您转接到我们负责该技术领域的同事

    微信公众号.png


    回复

    使用道具 举报

  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 418

    主题

    769

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15805
    QQ
    发表于 2023-6-24 20:46:53 | 显示全部楼层
    本楼提供了AC108 FPGA开发板的管脚信息,先是提供了管脚信息表,然后提供了tcl格式的管脚约束语句。方便大家使用。
    AC108 FPGA开发板管脚信息表.xlsx (19.13 KB, 下载次数: 133)
    接口名称外设端口FPGA管脚备注# 约束语句
    系统时钟50MHzClkPIN_E15set_location_assignment PIN_E15 -to Clk
    # LED灯管脚约束
    LED灯LED[0]PIN_N16set_location_assignment PIN_N16 -to LED[0]
    LED[1]PIN_N15set_location_assignment PIN_N15 -to LED[1]
    按键Key[0]PIN_M15set_location_assignment PIN_M15 -to Key[0]
    Key[1]PIN_T8set_location_assignment PIN_T8 -to Key[1]
    Key[2]PIN_R9作为复位按键set_location_assignment PIN_R9 -to Key[2]
    # 开关管脚约束
    开关SW[0]PIN_M16set_location_assignment PIN_M16 -to SW[0]
    SW[1]PIN_E16set_location_assignment PIN_E16 -to SW[1]
    SW[2]PIN_A9set_location_assignment PIN_A9 -to SW[2]
    SW[3]PIN_B9set_location_assignment PIN_B9 -to SW[3]
    SW[4]PIN_A8set_location_assignment PIN_A8 -to SW[4]
    SW[5]PIN_B8set_location_assignment PIN_B8 -to SW[5]
    SW[6]PIN_E1set_location_assignment PIN_E1 -to SW[6]
    SW[7]PIN_M1set_location_assignment PIN_M1 -to SW[7]
    # 串口收发管脚约束
    串口收发UART_RXDPIN_F13set_location_assignment PIN_F13 -to UART_RXD
    UART_TXDPIN_F8set_location_assignment PIN_F8 -to UART_TXD
    # 红外遥控管脚约束
    红外遥控IRPIN_R8set_location_assignment PIN_R8 -to IR
    # 无源蜂鸣器管脚约束
    无源蜂鸣器BEEPPIN_G16set_location_assignment PIN_G16 -to BEEP
    # I2C接口管脚约束
    I2C接口i2c_sclkPIN_R7set_location_assignment PIN_R7 -to i2c_sclk
    i2c_sdatPIN_T7set_location_assignment PIN_T7 -to i2c_sdat
    # SD卡(4线SPI)管脚约束
    SD卡(4线SPI)SD_CLKPIN_E11set_location_assignment PIN_E11 -to SD_CLK
    SD_MISOPIN_C9set_location_assignment PIN_C9 -to SD_MISO
    SD_MOSIPIN_F9set_location_assignment PIN_F9 -to SD_MOSI
    SD_SCPIN_F14set_location_assignment PIN_F14 -to SD_SC
    # 74HC595驱动数码管管脚约束
    74HC595驱动数码管DSPIN_J13SEG7_DIOset_location_assignment PIN_J13 -to DS
    SH_CPPIN_C15SEG7_SCLKset_location_assignment PIN_C15 -to SH_CP
    ST_CPPIN_B16SEG7_RCLKset_location_assignment PIN_B16 -to ST_CP
    # SDRAM管脚约束
    SDRAMsdram_addr[0]PIN_A3set_location_assignment PIN_A3 -to sdram_addr[0]
    sdram_addr[1]PIN_C3set_location_assignment PIN_C3 -to sdram_addr[1]
    sdram_addr[2]PIN_D3set_location_assignment PIN_D3 -to sdram_addr[2]
    sdram_addr[3]PIN_B4set_location_assignment PIN_B4 -to sdram_addr[3]
    sdram_addr[4]PIN_B3set_location_assignment PIN_B3 -to sdram_addr[4]
    sdram_addr[5]PIN_A2set_location_assignment PIN_A2 -to sdram_addr[5]
    sdram_addr[6]PIN_A4set_location_assignment PIN_A4 -to sdram_addr[6]
    sdram_addr[7]PIN_D6set_location_assignment PIN_D6 -to sdram_addr[7]
    sdram_addr[8]PIN_A5set_location_assignment PIN_A5 -to sdram_addr[8]
    sdram_addr[9]PIN_E6set_location_assignment PIN_E6 -to sdram_addr[9]
    sdram_addr[10]PIN_E7set_location_assignment PIN_E7 -to sdram_addr[10]
    sdram_addr[11]PIN_A6set_location_assignment PIN_A6 -to sdram_addr[11]
    sdram_addr[12]PIN_C6set_location_assignment PIN_C6 -to sdram_addr[12]
    sdram_ba[0]PIN_B7set_location_assignment PIN_B7 -to sdram_ba[0]
    sdram_ba[1]PIN_B5set_location_assignment PIN_B5 -to sdram_ba[1]
    sdram_cas_nPIN_D8set_location_assignment PIN_D8 -to sdram_cas_n
    sdram_clkPIN_A7set_location_assignment PIN_A7 -to sdram_clk
    sdram_cs_nPIN_B6set_location_assignment PIN_B6 -to sdram_cs_n
    sdram_dq[0]PIN_D14set_location_assignment PIN_D14 -to sdram_dq[0]
    sdram_dq[1]PIN_C14set_location_assignment PIN_C14 -to sdram_dq[1]
    sdram_dq[2]PIN_D12set_location_assignment PIN_D12 -to sdram_dq[2]
    sdram_dq[3]PIN_B13set_location_assignment PIN_B13 -to sdram_dq[3]
    sdram_dq[4]PIN_E10set_location_assignment PIN_E10 -to sdram_dq[4]
    sdram_dq[5]PIN_B12set_location_assignment PIN_B12 -to sdram_dq[5]
    sdram_dq[6]PIN_D11set_location_assignment PIN_D11 -to sdram_dq[6]
    sdram_dq[7]PIN_C11set_location_assignment PIN_C11 -to sdram_dq[7]
    sdram_dq[8]PIN_B10set_location_assignment PIN_B10 -to sdram_dq[8]
    sdram_dq[9]PIN_A11set_location_assignment PIN_A11 -to sdram_dq[9]
    sdram_dq[10]PIN_B11set_location_assignment PIN_B11 -to sdram_dq[10]
    sdram_dq[11]PIN_A12set_location_assignment PIN_A12 -to sdram_dq[11]
    sdram_dq[12]PIN_A13set_location_assignment PIN_A13 -to sdram_dq[12]
    sdram_dq[13]PIN_A14set_location_assignment PIN_A14 -to sdram_dq[13]
    sdram_dq[14]PIN_B14set_location_assignment PIN_B14 -to sdram_dq[14]
    sdram_dq[15]PIN_A15set_location_assignment PIN_A15 -to sdram_dq[15]
    sdram_dqm[0]PIN_E9set_location_assignment PIN_E9 -to sdram_dqm[0]
    sdram_dqm[1]PIN_A10set_location_assignment PIN_A10 -to sdram_dqm[1]
    sdram_ras_nPIN_C8set_location_assignment PIN_C8 -to sdram_ras_n
    sdram_we_nPIN_E8set_location_assignment PIN_E8 -to sdram_we_n
    # HDMI管脚约束
    HDMItmds_clk_nPIN_R3set_location_assignment PIN_R3 -to tmds_clk_n
    tmds_clk_pPIN_T3set_location_assignment PIN_T3 -to tmds_clk_p
    tmds_data_n[0]PIN_R4set_location_assignment PIN_R4 -to tmds_data_n[0]
    tmds_data_n[1]PIN_R5set_location_assignment PIN_R5 -to tmds_data_n[1]
    tmds_data_n[2]PIN_R6set_location_assignment PIN_R6 -to tmds_data_n[2]
    tmds_data_p[0]PIN_T4set_location_assignment PIN_T4 -to tmds_data_p[0]
    tmds_data_p[1]PIN_T5set_location_assignment PIN_T5 -to tmds_data_p[1]
    tmds_data_p[2]PIN_T6set_location_assignment PIN_T6 -to tmds_data_p[2]
    # 以太网管脚约束
    以太网eth_mdcPIN_P15set_location_assignment PIN_P15 -to eth_mdc
    eth_mdioPIN_R16set_location_assignment PIN_R16 -to eth_mdio
    eth_rst_nPIN_P16set_location_assignment PIN_P16 -to eth_rst_n
    eth_rxcPIN_T9set_location_assignment PIN_T9 -to eth_rxc
    eth_rxd[3]PIN_R12set_location_assignment PIN_R12 -to eth_rxd[3]
    eth_rxd[2]PIN_T11set_location_assignment PIN_T11 -to eth_rxd[2]
    eth_rxd[1]PIN_R11set_location_assignment PIN_R11 -to eth_rxd[1]
    eth_rxd[0]PIN_T10set_location_assignment PIN_T10 -to eth_rxd[0]
    eth_rxdvPIN_R10set_location_assignment PIN_R10 -to eth_rxdv
    eth_gtxcPIN_T12set_location_assignment PIN_T12 -to eth_gtxc
    eth_txd[3]PIN_T15set_location_assignment PIN_T15 -to eth_txd[3]
    eth_txd[2]PIN_T14set_location_assignment PIN_T14 -to eth_txd[2]
    eth_txd[1]PIN_R14set_location_assignment PIN_R14 -to eth_txd[1]
    eth_txd[0]PIN_T13set_location_assignment PIN_T13 -to eth_txd[0]
    eth_txenPIN_R13set_location_assignment PIN_R13 -to eth_txen
    # GPIO0通用扩展接口管脚约束
    GPIO0通用扩展接口GPIO0_0PIN_T2set_location_assignment PIN_T2 -to GPIO0_0
    GPIO0_1PIN_R1set_location_assignment PIN_R1 -to GPIO0_1
    GPIO0_2PIN_P2set_location_assignment PIN_P2 -to GPIO0_2
    GPIO0_3PIN_P1set_location_assignment PIN_P1 -to GPIO0_3
    GPIO0_4PIN_N2set_location_assignment PIN_N2 -to GPIO0_4
    GPIO0_5PIN_N1set_location_assignment PIN_N1 -to GPIO0_5
    GPIO0_6PIN_L2set_location_assignment PIN_L2 -to GPIO0_6
    GPIO0_7PIN_L1set_location_assignment PIN_L1 -to GPIO0_7
    GPIO0_8PIN_K2set_location_assignment PIN_K2 -to GPIO0_8
    GPIO0_9PIN_K1set_location_assignment PIN_K1 -to GPIO0_9
    5V
    GND
    GPIO0_10PIN_J2set_location_assignment PIN_J2 -to GPIO0_10
    GPIO0_11PIN_J1set_location_assignment PIN_J1 -to GPIO0_11
    GPIO0_12PIN_M10set_location_assignment PIN_M10 -to GPIO0_12
    GPIO0_13PIN_P9set_location_assignment PIN_P9 -to GPIO0_13
    GPIO0_14PIN_N9set_location_assignment PIN_N9 -to GPIO0_14
    GPIO0_15PIN_L8set_location_assignment PIN_L8 -to GPIO0_15
    GPIO0_16PIN_N8set_location_assignment PIN_N8 -to GPIO0_16
    GPIO0_17PIN_P8set_location_assignment PIN_P8 -to GPIO0_17
    GPIO0_18PIN_M8set_location_assignment PIN_M8 -to GPIO0_18
    GPIO0_19PIN_M7set_location_assignment PIN_M7 -to GPIO0_19
    GPIO0_20PIN_P6set_location_assignment PIN_P6 -to GPIO0_20
    GPIO0_21PIN_N6set_location_assignment PIN_N6 -to GPIO0_21
    GPIO0_22PIN_L7set_location_assignment PIN_L7 -to GPIO0_22
    GPIO0_23PIN_P3set_location_assignment PIN_P3 -to GPIO0_23
    GPIO0_24PIN_N5set_location_assignment PIN_N5 -to GPIO0_24
    GPIO0_25PIN_N3set_location_assignment PIN_N3 -to GPIO0_25
    3V3
    GND
    GPIO0_26PIN_M6set_location_assignment PIN_M6 -to GPIO0_26
    GPIO0_27PIN_L4set_location_assignment PIN_L4 -to GPIO0_27
    GPIO0_28PIN_K5set_location_assignment PIN_K5 -to GPIO0_28
    GPIO0_29PIN_G1set_location_assignment PIN_G1 -to GPIO0_29
    GPIO0_30PIN_G2set_location_assignment PIN_G2 -to GPIO0_30
    GPIO0_31PIN_F3set_location_assignment PIN_F3 -to GPIO0_31
    GPIO0_32PIN_D1set_location_assignment PIN_D1 -to GPIO0_32
    GPIO0_33PIN_C2set_location_assignment PIN_C2 -to GPIO0_33
    GPIO0_34PIN_B1set_location_assignment PIN_B1 -to GPIO0_34
    GPIO0_35PIN_D9set_location_assignment PIN_D9 -to GPIO0_35
    # CMOS摄像头接口管脚约束
    CMOS摄像头接口CMOS_D[0]PIN_D15set_location_assignment PIN_D15 -to CMOS_D[0]
    CMOS_D[1]PIN_C16set_location_assignment PIN_C16 -to CMOS_D[1]
    CMOS_D[2]PIN_F15set_location_assignment PIN_F15 -to CMOS_D[2]
    CMOS_D[3]PIN_D16set_location_assignment PIN_D16 -to CMOS_D[3]
    CMOS_D[4]PIN_F16set_location_assignment PIN_F16 -to CMOS_D[4]
    CMOS_D[5]PIN_G15set_location_assignment PIN_G15 -to CMOS_D[5]
    CMOS_D[6]PIN_J14set_location_assignment PIN_J14 -to CMOS_D[6]
    CMOS_D[7]PIN_K15set_location_assignment PIN_K15 -to CMOS_D[7]
    CMOS_HREFPIN_K16set_location_assignment PIN_K16 -to CMOS_HREF
    CMOS_PCLKPIN_L14set_location_assignment PIN_L14 -to CMOS_PCLK
    CMOS_SCLKPIN_L13set_location_assignment PIN_L13 -to CMOS_SCLK
    CMOS_SDATPIN_N14set_location_assignment PIN_N14 -to CMOS_SDAT
    CMOS_VSYNCPIN_J15set_location_assignment PIN_J15 -to CMOS_VSYNC
    CMOS_XCLKPIN_J16set_location_assignment PIN_J16 -to CMOS_XCLK
    # I2S音频接口管脚约束
    I2S音频接口I2S_ADCDATPIN_P14set_location_assignment PIN_P14 -to I2S_ADCDAT
    I2S_ADCLRCPIN_N12set_location_assignment PIN_N12 -to I2S_ADCLRC
    I2S_BCLKPIN_L16set_location_assignment PIN_L16 -to I2S_BCLK
    I2S_DACDATPIN_N11set_location_assignment PIN_N11 -to I2S_DACDAT
    I2S_DACLRCPIN_P11set_location_assignment PIN_P11 -to I2S_DACLRC
    I2S_MCLKPIN_L15set_location_assignment PIN_L15 -to I2S_MCLK



    ac108_pin_assignments.tcl (6.96 KB, 下载次数: 159)

    1. # 系统时钟,50MHz
    2. set_location_assignment PIN_E15 -to Clk
    3. # LED灯管脚约束
    4. set_location_assignment PIN_N16 -to LED[0]
    5. set_location_assignment PIN_N15 -to LED[1]

    6. set_location_assignment PIN_M15 -to Key[0]
    7. set_location_assignment PIN_T8 -to Key[1]
    8. set_location_assignment PIN_R9 -to Key[2]
    9. # 开关管脚约束
    10. set_location_assignment PIN_M16 -to SW[0]
    11. set_location_assignment PIN_E16 -to SW[1]
    12. set_location_assignment PIN_A9 -to SW[2]
    13. set_location_assignment PIN_B9 -to SW[3]
    14. set_location_assignment PIN_A8 -to SW[4]
    15. set_location_assignment PIN_B8 -to SW[5]
    16. set_location_assignment PIN_E1 -to SW[6]
    17. set_location_assignment PIN_M1 -to SW[7]
    18. # 串口收发管脚约束
    19. set_location_assignment PIN_F13 -to UART_RXD
    20. set_location_assignment PIN_F8 -to UART_TXD
    21. # 红外遥控管脚约束
    22. set_location_assignment PIN_R8 -to IR
    23. # 无源蜂鸣器管脚约束
    24. set_location_assignment PIN_G16 -to BEEP
    25. # I2C接口管脚约束
    26. set_location_assignment PIN_R7 -to i2c_sclk
    27. set_location_assignment PIN_T7 -to i2c_sdat
    28. # SD卡(4线SPI)管脚约束
    29. set_location_assignment PIN_E11 -to SD_CLK
    30. set_location_assignment PIN_C9 -to SD_MISO
    31. set_location_assignment PIN_F9 -to SD_MOSI
    32. set_location_assignment PIN_F14 -to SD_SC
    33. # 74HC595驱动数码管管脚约束
    34. set_location_assignment PIN_J13 -to DS
    35. set_location_assignment PIN_C15 -to SH_CP
    36. set_location_assignment PIN_B16 -to ST_CP
    37. # SDRAM管脚约束
    38. set_location_assignment PIN_A3 -to sdram_addr[0]
    39. set_location_assignment PIN_C3 -to sdram_addr[1]
    40. set_location_assignment PIN_D3 -to sdram_addr[2]
    41. set_location_assignment PIN_B4 -to sdram_addr[3]
    42. set_location_assignment PIN_B3 -to sdram_addr[4]
    43. set_location_assignment PIN_A2 -to sdram_addr[5]
    44. set_location_assignment PIN_A4 -to sdram_addr[6]
    45. set_location_assignment PIN_D6 -to sdram_addr[7]
    46. set_location_assignment PIN_A5 -to sdram_addr[8]
    47. set_location_assignment PIN_E6 -to sdram_addr[9]
    48. set_location_assignment PIN_E7 -to sdram_addr[10]
    49. set_location_assignment PIN_A6 -to sdram_addr[11]
    50. set_location_assignment PIN_C6 -to sdram_addr[12]
    51. set_location_assignment PIN_B7 -to sdram_ba[0]
    52. set_location_assignment PIN_B5 -to sdram_ba[1]
    53. set_location_assignment PIN_D8 -to sdram_cas_n
    54. set_location_assignment PIN_A7 -to sdram_clk
    55. set_location_assignment PIN_B6 -to sdram_cs_n
    56. set_location_assignment PIN_D14 -to sdram_dq[0]
    57. set_location_assignment PIN_C14 -to sdram_dq[1]
    58. set_location_assignment PIN_D12 -to sdram_dq[2]
    59. set_location_assignment PIN_B13 -to sdram_dq[3]
    60. set_location_assignment PIN_E10 -to sdram_dq[4]
    61. set_location_assignment PIN_B12 -to sdram_dq[5]
    62. set_location_assignment PIN_D11 -to sdram_dq[6]
    63. set_location_assignment PIN_C11 -to sdram_dq[7]
    64. set_location_assignment PIN_B10 -to sdram_dq[8]
    65. set_location_assignment PIN_A11 -to sdram_dq[9]
    66. set_location_assignment PIN_B11 -to sdram_dq[10]
    67. set_location_assignment PIN_A12 -to sdram_dq[11]
    68. set_location_assignment PIN_A13 -to sdram_dq[12]
    69. set_location_assignment PIN_A14 -to sdram_dq[13]
    70. set_location_assignment PIN_B14 -to sdram_dq[14]
    71. set_location_assignment PIN_A15 -to sdram_dq[15]
    72. set_location_assignment PIN_E9 -to sdram_dqm[0]
    73. set_location_assignment PIN_A10 -to sdram_dqm[1]
    74. set_location_assignment PIN_C8 -to sdram_ras_n
    75. set_location_assignment PIN_E8 -to sdram_we_n
    76. # HDMI管脚约束
    77. set_location_assignment PIN_R3 -to tmds_clk_n
    78. set_location_assignment PIN_T3 -to tmds_clk_p
    79. set_location_assignment PIN_R4 -to tmds_data_n[0]
    80. set_location_assignment PIN_R5 -to tmds_data_n[1]
    81. set_location_assignment PIN_R6 -to tmds_data_n[2]
    82. set_location_assignment PIN_T4 -to tmds_data_p[0]
    83. set_location_assignment PIN_T5 -to tmds_data_p[1]
    84. set_location_assignment PIN_T6 -to tmds_data_p[2]
    85. # 以太网管脚约束
    86. set_location_assignment PIN_P15 -to eth_mdc
    87. set_location_assignment PIN_R16 -to eth_mdio
    88. set_location_assignment PIN_P16 -to eth_rst_n
    89. set_location_assignment PIN_T9 -to eth_rxc
    90. set_location_assignment PIN_R12 -to eth_rxd[3]
    91. set_location_assignment PIN_T11 -to eth_rxd[2]
    92. set_location_assignment PIN_R11 -to eth_rxd[1]
    93. set_location_assignment PIN_T10 -to eth_rxd[0]
    94. set_location_assignment PIN_R10 -to eth_rxdv
    95. set_location_assignment PIN_T12 -to eth_gtxc
    96. set_location_assignment PIN_T15 -to eth_txd[3]
    97. set_location_assignment PIN_T14 -to eth_txd[2]
    98. set_location_assignment PIN_R14 -to eth_txd[1]
    99. set_location_assignment PIN_T13 -to eth_txd[0]
    100. set_location_assignment PIN_R13 -to eth_txen
    101. # GPIO0通用扩展接口管脚约束
    102. set_location_assignment PIN_T2 -to GPIO0_0
    103. set_location_assignment PIN_R1 -to GPIO0_1
    104. set_location_assignment PIN_P2 -to GPIO0_2
    105. set_location_assignment PIN_P1 -to GPIO0_3
    106. set_location_assignment PIN_N2 -to GPIO0_4
    107. set_location_assignment PIN_N1 -to GPIO0_5
    108. set_location_assignment PIN_L2 -to GPIO0_6
    109. set_location_assignment PIN_L1 -to GPIO0_7
    110. set_location_assignment PIN_K2 -to GPIO0_8
    111. set_location_assignment PIN_K1 -to GPIO0_9


    112. set_location_assignment PIN_J2 -to GPIO0_10
    113. set_location_assignment PIN_J1 -to GPIO0_11
    114. set_location_assignment PIN_M10 -to GPIO0_12
    115. set_location_assignment PIN_P9 -to GPIO0_13
    116. set_location_assignment PIN_N9 -to GPIO0_14
    117. set_location_assignment PIN_L8 -to GPIO0_15
    118. set_location_assignment PIN_N8 -to GPIO0_16
    119. set_location_assignment PIN_P8 -to GPIO0_17
    120. set_location_assignment PIN_M8 -to GPIO0_18
    121. set_location_assignment PIN_M7 -to GPIO0_19
    122. set_location_assignment PIN_P6 -to GPIO0_20
    123. set_location_assignment PIN_N6 -to GPIO0_21
    124. set_location_assignment PIN_L7 -to GPIO0_22
    125. set_location_assignment PIN_P3 -to GPIO0_23
    126. set_location_assignment PIN_N5 -to GPIO0_24
    127. set_location_assignment PIN_N3 -to GPIO0_25


    128. set_location_assignment PIN_M6 -to GPIO0_26
    129. set_location_assignment PIN_L4 -to GPIO0_27
    130. set_location_assignment PIN_K5 -to GPIO0_28
    131. set_location_assignment PIN_G1 -to GPIO0_29
    132. set_location_assignment PIN_G2 -to GPIO0_30
    133. set_location_assignment PIN_F3 -to GPIO0_31
    134. set_location_assignment PIN_D1 -to GPIO0_32
    135. set_location_assignment PIN_C2 -to GPIO0_33
    136. set_location_assignment PIN_B1 -to GPIO0_34
    137. set_location_assignment PIN_D9 -to GPIO0_35
    138. # CMOS摄像头接口管脚约束
    139. set_location_assignment PIN_D15 -to CMOS_D[0]
    140. set_location_assignment PIN_C16 -to CMOS_D[1]
    141. set_location_assignment PIN_F15 -to CMOS_D[2]
    142. set_location_assignment PIN_D16 -to CMOS_D[3]
    143. set_location_assignment PIN_F16 -to CMOS_D[4]
    144. set_location_assignment PIN_G15 -to CMOS_D[5]
    145. set_location_assignment PIN_J14 -to CMOS_D[6]
    146. set_location_assignment PIN_K15 -to CMOS_D[7]
    147. set_location_assignment PIN_K16 -to CMOS_HREF
    148. set_location_assignment PIN_L14 -to CMOS_PCLK
    149. set_location_assignment PIN_L13 -to CMOS_SCLK
    150. set_location_assignment PIN_N14 -to CMOS_SDAT
    151. set_location_assignment PIN_J15 -to CMOS_VSYNC
    152. set_location_assignment PIN_J16 -to CMOS_XCLK
    153. # I2S音频接口管脚约束
    154. set_location_assignment PIN_P14 -to I2S_ADCDAT
    155. set_location_assignment PIN_N12 -to I2S_ADCLRC
    156. set_location_assignment PIN_L16 -to I2S_BCLK
    157. set_location_assignment PIN_N11 -to I2S_DACDAT
    158. set_location_assignment PIN_P11 -to I2S_DACLRC
    159. set_location_assignment PIN_L15 -to I2S_MCLK

    复制代码

    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-3-28 23:38 , Processed in 0.120367 second(s), 37 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表