芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 52061|回复: 2

【ACX720】ACX720型Xilinx FPGA开发板用户自助服务手册

[复制链接]
  • TA的每日心情
    开心
    2021-12-31 09:03
  • 71

    主题

    78

    帖子

    966

    积分

    高级会员

    Rank: 6Rank: 6

    积分
    966
    发表于 2022-3-15 09:56:47 | 显示全部楼层 |阅读模式
    离线进入的用户请先点击下方“刷新页面”来重新打开本页面的最新内容

    刷新本页面


    本帖为ACX720教学型FPGA开发板用户自助服务手册,各种常见问题,都在本帖内汇总提供了。如果有本帖没有收录的问题,欢迎大家在本帖下留言,我们会随时补充完善。




    1、【资料下载】ACX720 开发板全部资料(文档、例程、开发软件、视频课程、硬件图纸等)下载地址:
    ->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=28465
    ----------------------------------------------------
    2、【新手学习指导】如何进行学习,学习方法,资料学习顺序,学习遇到问题怎么办
    ->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=28723
    ----------------------------------------------------
    3、【开发板测试方法和常见故障】开发板入手测试常见问题说明:
    ->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=29165
    ----------------------------------------------------
    4、【Vivado软件下载】Vivado软件哪里下载?答案就在问题1的链接里,资料盘的B盘就是Vivado软件的下载链接
    ----------------------------------------------------
    5、【小软件工具下载】教程中所用各种软件和小工具下载地址:
    ->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=28374
    ----------------------------------------------------
    6、【下载器驱动安装】Xilinx 开发板下载器驱动安装教程:
    ->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=29107
    ----------------------------------------------------
    7、【串口驱动安装】CH340 USB转串口芯片驱动安装说明:
    ->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=27739
    ----------------------------------------------------
    8、Vivado使用相关问题汇总:
    ->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=29078
    ----------------------------------------------------



    实验答疑

    1、【数码管学习、实验和使用】ACX720开发板数码管上电显示乱码的原理与解释:
    ->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=28480
    ----------------------------------------------------
    2、【串口学习、实验和使用】ACX720开发板串口学习、实验和使用常见问题:
    ->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=28672
    ----------------------------------------------------
    3、【程序烧录和擦除】VIVADO软件bit文件和bin文件烧写方法:
    ->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=28732
    ----------------------------------------------------
    4、【GPIO电平修改】【LVDS】修改GPIO0电压和使用差分电平标准(如LVDS):
    ->>http://www.corecourse.cn/forum.php?mod=viewthread&tid=29167
    ----------------------------------------------------


    实验案例

    由于实验案例较多,所以我们专门做了个实验案例的合集帖用来罗列本网站上上传的基于ACX720 FPGA开发板的应用案例。

    【ACX720】基于ACX720 FPGA开发板应用案例汇总帖
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=29077



    更多本公司其他产品资料,请参看【资料合集】小梅哥所有FPGA开发板/扩展模块资料
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=27978
    回复

    使用道具 举报

  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 425

    主题

    795

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15967
    QQ
    发表于 2022-7-14 11:48:23 | 显示全部楼层

    1、Vivado软件推荐安装什么版本?
    答:Vivado2018.3,因为例程都是使用2018.3创建,而Vivado软件不同版本之间兼容性比较差,推荐使用我们创建工程所用的版本。另外,关于大家喜欢用新版软件的癖好,对于学习FPGa来说,基础功能没有任何差异,所以没必要用最新版本,最新版本主要是更新一些高级应用里面的功能,等你学会了FPGa,有能力独立解决问题时,再来换新版本也是很轻松的事情。反之,如果新手什么都还不太了解,又要接触最新版本软件,遇到问题后,求助问题时将无法得到及时有效的回复,所以,如果您使用我们的教程学习,请一定安装我们推荐的软件版本

    2、摄像头模糊看不清?
    答,我们配套的OV5640或者OV7725摄像头都是非定焦摄像头,其镜头是可以通过旋转的方式调节焦距,以适用观测不同距离的景物,您使用过程中如果发现摄像头拍摄的视野看不太清甚至非常模糊,看不出任何的规律,请先手动旋转摄像头的镜头,慢慢调节焦距,摄像头镜头的调节范围多大20多圈,可以在整个范围内多旋转,总能获得最佳的拍摄效果。

    3、开发板工作时候发热正常吗?
    开发板在工作中,会因为运行的程序的规模和频率,产生不同程度的发热,例如简单的LED点灯,则只有非常小的发热功耗,微热。而运行带DDR3的程序,例如摄像头、显示屏等案例,由于工作频率较高,而且使用了DDR3软核控制器,功耗就大多了,用手就能感觉到明显的发热。但是,不管怎样,整个板的发热情况一定是在人手触摸的情况下不会烫手的,一旦发现开发板或者上面的芯片发热到手指都不能停留时间超过2秒,则可能是相应芯片已经烧毁。需要检修。

    4、数码管为啥自己亮起来,而且显示内容无规律?
    该问题请参加下述帖子里面的详细解释:
    AC620和ACX720开发板数码管上电显示乱码的原理与解释
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=28480

    5、ACX720开发板使用的配置器件FLASH是什么型号?
    ACX720开发板使用过两个型号的FLASH芯片,早期版本使用的是镁光的N25Q128(MT25Q128),现在使用的是MX25L12845G

    6、FPGA型号
    35T:XC7A35TFGG484-2
    100T:XC7A100TFGG484-2
    200T:XC7A200TFBG484-2(注意,有一个字符与前两个不同,200T的这个是FBG,前两个是FGG)


    1、Vivado IP如何进行例化?
    该问题请参考下述帖子里面的详细说明
    【Vivado常见问题】IP 如何进行例化
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=28484

    2、Vivado 如何查看仿真具体错误信息?
    该问题请参考下述帖子里面的详细说明
    【Vivado常见问题】如何查看仿真具体错误信息
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=28485

    2、Vivado 如何退出已经开启的仿真?
    该问题请参考下述帖子里面的详细说明
    【Vivado常见问题】如何退出已经开启的仿真
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=28454










    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 425

    主题

    795

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15967
    QQ
    发表于 2023-12-27 14:18:07 | 显示全部楼层


    1. #-------------------------sys_input---------------------------------
    2. #set UnusedPin
    3. set_property BITSTREAM.CONFIG.UNUSEDPIN Pullnone [current_design]
    复制代码


    时钟

    1. #system slock
    2. set_property PACKAGE_PIN Y18 [get_ports clk]
    3. set_property IOSTANDARD LVCMOS33 [get_ports clk]
    复制代码


    复位按键S4

    1. #reset active low,S4
    2. set_property PACKAGE_PIN B21 [get_ports reset_n]
    3. set_property IOSTANDARD LVCMOS33 [get_ports reset_n]
    4. #-------------------------------------------------------------------
    复制代码


    LED灯

    1. #----------------------------led_output-----------------------------
    2. #led pin
    3. set_property PACKAGE_PIN M22 [get_ports {led[0]}]
    4. set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
    5. set_property PACKAGE_PIN N22 [get_ports {led[1]}]
    6. set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
    7. set_property PACKAGE_PIN L21 [get_ports {led[2]}]
    8. set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
    9. set_property PACKAGE_PIN K21 [get_ports {led[3]}]
    10. set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
    11. set_property PACKAGE_PIN K22 [get_ports {led[4]}]
    12. set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}]
    13. set_property PACKAGE_PIN J22 [get_ports {led[5]}]
    14. set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}]
    15. set_property PACKAGE_PIN H22 [get_ports {led[6]}]
    16. set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}]
    17. set_property PACKAGE_PIN M21 [get_ports {led[7]}]
    18. set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}]
    19. #-------------------------------------------------------------------
    复制代码


    拨码开关

    1. #-------------------------------------------------------------------
    2. #switch pin 开关输入
    3. set_property PACKAGE_PIN G22 [get_ports {sw[0]}]
    4. set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}]
    5. set_property PACKAGE_PIN D22 [get_ports {sw[1]}]
    6. set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}]
    7. set_property PACKAGE_PIN E22 [get_ports {sw[2]}]
    8. set_property IOSTANDARD LVCMOS33 [get_ports {sw[2]}]
    9. set_property PACKAGE_PIN G21 [get_ports {sw[3]}]
    10. set_property IOSTANDARD LVCMOS33 [get_ports {sw[3]}]
    11. set_property PACKAGE_PIN E21 [get_ports {sw[4]}]
    12. set_property IOSTANDARD LVCMOS33 [get_ports {sw[4]}]
    13. set_property PACKAGE_PIN D21 [get_ports {sw[5]}]
    14. set_property IOSTANDARD LVCMOS33 [get_ports {sw[5]}]
    15. set_property PACKAGE_PIN C22 [get_ports {sw[6]}]
    16. set_property IOSTANDARD LVCMOS33 [get_ports {sw[6]}]
    17. set_property PACKAGE_PIN B22 [get_ports {sw[7]}]
    18. set_property IOSTANDARD LVCMOS33 [get_ports {sw[7]}]
    19. #-------------------------------------------------------------------
    复制代码


    轻触按键

    1. #-------------------------------------------------------------------
    2. #按键输入 key_in0~3:S0~S3
    3. set_property PACKAGE_PIN F15 [get_ports {key_in[0]}]
    4. set_property IOSTANDARD LVCMOS33 [get_ports {key_in[0]}]
    5. set_property PACKAGE_PIN A20 [get_ports {key_in[1]}]
    6. set_property IOSTANDARD LVCMOS33 [get_ports {key_in[1]}]
    7. set_property PACKAGE_PIN B20 [get_ports {key_in[2]}]
    8. set_property IOSTANDARD LVCMOS33 [get_ports {key_in[2]}]
    9. set_property PACKAGE_PIN A21 [get_ports {key_in[3]}]
    10. set_property IOSTANDARD LVCMOS33 [get_ports {key_in[3]}]
    11. #-------------------------------------------------------------------
    复制代码


    红外遥控输入

    1. #-------------------------------------------------------------------
    2. #HT6221 红外遥控输入
    3. set_property PACKAGE_PIN F21 [get_ports iIR]
    4. set_property IOSTANDARD LVCMOS33 [get_ports iIR]
    5. #-------------------------------------------------------------------
    复制代码


    无源蜂鸣器

    1. #-------------------------------------------------------------------
    2. #beep 蜂鸣器输出
    3. set_property PACKAGE_PIN N19 [get_ports beep]
    4. set_property IOSTANDARD LVCMOS33 [get_ports beep]
    5. #-------------------------------------------------------------------
    复制代码


    1. #----------------------------I2C接口--------------------------------
    2. #I2C
    3. set_property PACKAGE_PIN M13 [get_ports i2c_sclk]
    4. set_property PACKAGE_PIN M16 [get_ports i2c_sdat]
    5. set_property IOSTANDARD LVCMOS33 [get_ports i2c_sclk]
    6. set_property IOSTANDARD LVCMOS33 [get_ports i2c_sdat]
    7. set_property PULLUP true [get_ports i2c_sclk]
    8. set_property PULLUP true [get_ports i2c_sdat]
    9. #-------------------------------------------------------------------
    复制代码


    1. #-------------------------------------------------------------------
    2. #uart_rx
    3. set_property PACKAGE_PIN J21 [get_ports uart_rx]
    4. set_property IOSTANDARD LVCMOS33 [get_ports uart_rx]
    5. #uart_tx
    6. set_property PACKAGE_PIN M15 [get_ports uart_tx]
    7. set_property IOSTANDARD LVCMOS33 [get_ports uart_tx]
    8. #-------------------------------------------------------------------
    复制代码


    1. #-------------------------------------------------------------------
    2. #数码管显示(使用HC595驱动)
    3. set_property PACKAGE_PIN M18 [get_ports ds]
    4. set_property PACKAGE_PIN F4 [get_ports sh_cp]
    5. set_property PACKAGE_PIN C2 [get_ports st_cp]

    6. set_property IOSTANDARD LVCMOS33 [get_ports ds]
    7. set_property IOSTANDARD LVCMOS33 [get_ports st_cp]
    8. set_property IOSTANDARD LVCMOS33 [get_ports sh_cp]
    9. #-------------------------------------------------------------------
    复制代码


    1. #-----------------------千兆以太网GMII接口--------------------------
    2. #eth_reset_n

    3. #set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets gmii_rx_clk_IBUF]

    4. #gmii_rx
    5. #set_property PACKAGE_PIN T21  [get_ports gmii_rx_clk]
    6. #set_property IOSTANDARD LVCMOS33 [get_ports gmii_rx_clk]
    7. #set_property PACKAGE_PIN AB18 [get_ports gmii_rxdv]
    8. #set_property IOSTANDARD LVCMOS33 [get_ports gmii_rxdv]
    9. #set_property PACKAGE_PIN V17  [get_ports {gmii_rxd[0]}]
    10. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[0]}]
    11. #set_property PACKAGE_PIN V18  [get_ports {gmii_rxd[1]}]
    12. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[1]}]
    13. #set_property PACKAGE_PIN P19  [get_ports {gmii_rxd[2]}]
    14. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[2]}]
    15. #set_property PACKAGE_PIN R19  [get_ports {gmii_rxd[3]}]
    16. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[3]}]
    17. #set_property PACKAGE_PIN T20  [get_ports {gmii_rxd[4]}]
    18. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[4]}]
    19. #set_property PACKAGE_PIN U20  [get_ports {gmii_rxd[5]}]
    20. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[5]}]
    21. #set_property PACKAGE_PIN V19  [get_ports {gmii_rxd[6]}]
    22. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[6]}]
    23. #set_property PACKAGE_PIN V20  [get_ports {gmii_rxd[7]}]
    24. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_rxd[7]}]
    25. #gmii_tx
    26. #set_property PACKAGE_PIN U22 [get_ports gtxclk]
    27. #set_property IOSTANDARD LVCMOS33 [get_ports gtxclk]
    28. #set_property PACKAGE_PIN AA19 [get_ports gmii_txen]
    29. #set_property IOSTANDARD LVCMOS33 [get_ports gmii_txen]
    30. #set_property PACKAGE_PIN U21 [get_ports {gmii_txd[0]}]
    31. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[0]}]
    32. #set_property PACKAGE_PIN W22 [get_ports {gmii_txd[1]}]
    33. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[1]}]
    34. #set_property PACKAGE_PIN W21 [get_ports {gmii_txd[2]}]
    35. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[2]}]
    36. #set_property PACKAGE_PIN Y22 [get_ports {gmii_txd[3]}]
    37. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[3]}]
    38. #set_property PACKAGE_PIN AB22 [get_ports {gmii_txd[4]}]
    39. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[4]}]
    40. #set_property PACKAGE_PIN AA21 [get_ports {gmii_txd[5]}]
    41. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[5]}]
    42. #set_property PACKAGE_PIN AB21 [get_ports {gmii_txd[6]}]
    43. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[6]}]
    44. #set_property PACKAGE_PIN AA20 [get_ports {gmii_txd[7]}]
    45. #set_property IOSTANDARD LVCMOS33 [get_ports {gmii_txd[7]}]

    46. #eth_reset_n
    47. set_property PACKAGE_PIN V22 [get_ports eth_reset_n]
    48. set_property IOSTANDARD LVCMOS33 [get_ports eth_reset_n]
    49. #mdio
    50. set_property PACKAGE_PIN AA18 [get_ports eth_mdc]
    51. set_property IOSTANDARD LVCMOS33 [get_ports eth_mdc]
    52. set_property PACKAGE_PIN W17 [get_ports eth_mdio]
    53. set_property IOSTANDARD LVCMOS33 [get_ports eth_mdio]

    54. #gmii/mii rx
    55. set_property PACKAGE_PIN T21 [get_ports gmii_mii_rx_clk]
    56. set_property IOSTANDARD LVCMOS33 [get_ports gmii_mii_rx_clk]

    57. set_property PACKAGE_PIN AB18 [get_ports gmii_mii_rxdv]
    58. set_property IOSTANDARD LVCMOS33 [get_ports gmii_mii_rxdv]

    59. set_property PACKAGE_PIN V17 [get_ports {gmii_mii_rxd[0]}]
    60. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_rxd[0]}]
    61. set_property PACKAGE_PIN V18 [get_ports {gmii_mii_rxd[1]}]
    62. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_rxd[1]}]
    63. set_property PACKAGE_PIN P19 [get_ports {gmii_mii_rxd[2]}]
    64. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_rxd[2]}]
    65. set_property PACKAGE_PIN R19 [get_ports {gmii_mii_rxd[3]}]
    66. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_rxd[3]}]
    67. set_property PACKAGE_PIN T20 [get_ports {gmii_mii_rxd[4]}]
    68. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_rxd[4]}]
    69. set_property PACKAGE_PIN U20 [get_ports {gmii_mii_rxd[5]}]
    70. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_rxd[5]}]
    71. set_property PACKAGE_PIN V19 [get_ports {gmii_mii_rxd[6]}]
    72. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_rxd[6]}]
    73. set_property PACKAGE_PIN V20 [get_ports {gmii_mii_rxd[7]}]
    74. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_rxd[7]}]

    75. #gmii/mii tx
    76. set_property PACKAGE_PIN U22 [get_ports gmii_tx_clk]
    77. set_property IOSTANDARD LVCMOS33 [get_ports gmii_tx_clk]

    78. set_property PACKAGE_PIN Y21 [get_ports mii_tx_clk]
    79. set_property IOSTANDARD LVCMOS33 [get_ports mii_tx_clk]

    80. set_property PACKAGE_PIN AA19 [get_ports gmii_mii_txen]
    81. set_property IOSTANDARD LVCMOS33 [get_ports gmii_mii_txen]

    82. set_property PACKAGE_PIN U21 [get_ports {gmii_mii_txd[0]}]
    83. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_txd[0]}]
    84. set_property PACKAGE_PIN W22 [get_ports {gmii_mii_txd[1]}]
    85. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_txd[1]}]
    86. set_property PACKAGE_PIN W21 [get_ports {gmii_mii_txd[2]}]
    87. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_txd[2]}]
    88. set_property PACKAGE_PIN Y22 [get_ports {gmii_mii_txd[3]}]
    89. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_txd[3]}]
    90. set_property PACKAGE_PIN AB22 [get_ports {gmii_mii_txd[4]}]
    91. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_txd[4]}]
    92. set_property PACKAGE_PIN AA21 [get_ports {gmii_mii_txd[5]}]
    93. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_txd[5]}]
    94. set_property PACKAGE_PIN AB21 [get_ports {gmii_mii_txd[6]}]
    95. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_txd[6]}]
    96. set_property PACKAGE_PIN AA20 [get_ports {gmii_mii_txd[7]}]
    97. set_property IOSTANDARD LVCMOS33 [get_ports {gmii_mii_txd[7]}]

    98. #
    99. set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets gmii_mii_rx_clk_IBUF]
    100. create_clock -period 8.000 -name gmii_rx_clk [get_ports gmii_mii_rx_clk]
    101. #create_clock -period 40.000 -name gmii_rx_clk [get_ports gmii_mii_rx_clk]

    102. #set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets mii_tx_clk_IBUF]
    103. create_clock -period 40.000 -name mii_tx_clk [get_ports mii_tx_clk]
    104. #-------------------------------------------------------------------
    复制代码


    1. #--------AD9226--------------------------------------------
    2. ##adc1
    3. #set_property PACKAGE_PIN A13 [get_ports ad_clk1]
    4. #set_property IOSTANDARD LVCMOS33 [get_ports ad_clk1]
    5. #set_property PACKAGE_PIN A14 [get_ports {ad_in1[0]}]
    6. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in1[0]}]
    7. #set_property PACKAGE_PIN A15 [get_ports {ad_in1[1]}]
    8. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in1[1]}]
    9. #set_property PACKAGE_PIN A16 [get_ports {ad_in1[2]}]
    10. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in1[2]}]
    11. #set_property PACKAGE_PIN A18 [get_ports {ad_in1[3]}]
    12. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in1[3]}]
    13. #set_property PACKAGE_PIN A19 [get_ports {ad_in1[4]}]
    14. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in1[4]}]
    15. #set_property PACKAGE_PIN F13 [get_ports {ad_in1[5]}]
    16. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in1[5]}]
    17. #set_property PACKAGE_PIN F14 [get_ports {ad_in1[6]}]
    18. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in1[6]}]
    19. #set_property PACKAGE_PIN E13 [get_ports {ad_in1[7]}]
    20. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in1[7]}]
    21. #set_property PACKAGE_PIN E14 [get_ports {ad_in1[8]}]
    22. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in1[8]}]
    23. #set_property PACKAGE_PIN C13 [get_ports {ad_in1[9]}]
    24. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in1[9]}]
    25. #set_property PACKAGE_PIN B13 [get_ports {ad_in1[10]}]
    26. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in1[10]}]
    27. #set_property PACKAGE_PIN D14 [get_ports {ad_in1[11]}]
    28. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in1[11]}]
    29. ##adc2
    30. #set_property PACKAGE_PIN C14 [get_ports ad_clk2]
    31. #set_property IOSTANDARD LVCMOS33 [get_ports ad_clk2]
    32. #set_property PACKAGE_PIN C15 [get_ports {ad_in2[0]}]
    33. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in2[0]}]
    34. #set_property PACKAGE_PIN B15 [get_ports {ad_in2[1]}]
    35. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in2[1]}]
    36. #set_property PACKAGE_PIN B16 [get_ports {ad_in2[2]}]
    37. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in2[2]}]
    38. #set_property PACKAGE_PIN D17 [get_ports {ad_in2[3]}]
    39. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in2[3]}]
    40. #set_property PACKAGE_PIN C17 [get_ports {ad_in2[4]}]
    41. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in2[4]}]
    42. #set_property PACKAGE_PIN E16 [get_ports {ad_in2[5]}]
    43. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in2[5]}]
    44. #set_property PACKAGE_PIN D16 [get_ports {ad_in2[6]}]
    45. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in2[6]}]
    46. #set_property PACKAGE_PIN B17 [get_ports {ad_in2[7]}]
    47. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in2[7]}]
    48. #set_property PACKAGE_PIN B18 [get_ports {ad_in2[8]}]
    49. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in2[8]}]
    50. #set_property PACKAGE_PIN C18 [get_ports {ad_in2[9]}]
    51. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in2[9]}]
    52. #set_property PACKAGE_PIN C19 [get_ports {ad_in2[10]}]
    53. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in2[10]}]
    54. #set_property PACKAGE_PIN F16 [get_ports {ad_in2[11]}]
    55. #set_property IOSTANDARD LVCMOS33 [get_ports {ad_in2[11]}]
    56. #-------------------------------------------------------------------
    复制代码


    1. #------------------ACM9767---------------------------------------
    2. #DAC9767
    3. #set_property PACKAGE_PIN B16 [get_ports {DACB_WRT}]
    4. #set_property PACKAGE_PIN B15 [get_ports {DACB_CLK}]
    5. #set_property PACKAGE_PIN D17 [get_ports {DAC_DATA2[13]}]
    6. #set_property PACKAGE_PIN C17 [get_ports {DAC_DATA2[12]}]
    7. #set_property PACKAGE_PIN E16 [get_ports {DAC_DATA2[11]}]
    8. #set_property PACKAGE_PIN D16 [get_ports {DAC_DATA2[10]}]
    9. #set_property PACKAGE_PIN B17 [get_ports {DAC_DATA2[9]}]
    10. #set_property PACKAGE_PIN B18 [get_ports {DAC_DATA2[8]}]
    11. #set_property PACKAGE_PIN C18 [get_ports {DAC_DATA2[7]}]
    12. #set_property PACKAGE_PIN C19 [get_ports {DAC_DATA2[6]}]
    13. #set_property PACKAGE_PIN F16 [get_ports {DAC_DATA2[5]}]
    14. #set_property PACKAGE_PIN E17 [get_ports {DAC_DATA2[4]}]
    15. #set_property PACKAGE_PIN D20 [get_ports {DAC_DATA2[3]}]
    16. #set_property PACKAGE_PIN C20 [get_ports {DAC_DATA2[2]}]
    17. #set_property PACKAGE_PIN E19 [get_ports {DAC_DATA2[1]}]
    18. #set_property PACKAGE_PIN D19 [get_ports {DAC_DATA2[0]}]
    19. #set_property IOSTANDARD LVCMOS33 [get_ports {DACB_WRT}]
    20. #set_property IOSTANDARD LVCMOS33 [get_ports {DACB_CLK}]
    21. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[13]}]
    22. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[12]}]
    23. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[10]}]
    24. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[11]}]
    25. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[9]}]
    26. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[8]}]
    27. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[7]}]
    28. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[6]}]
    29. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[5]}]
    30. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[4]}]
    31. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[3]}]
    32. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[2]}]
    33. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[1]}]
    34. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA2[0]}]
    35. #set_property PACKAGE_PIN C15 [get_ports {DACA_WRT}]
    36. #set_property PACKAGE_PIN C14 [get_ports {DACA_CLK}]
    37. #set_property PACKAGE_PIN A14 [get_ports {DAC_DATA1[13]}]
    38. #set_property PACKAGE_PIN A13 [get_ports {DAC_DATA1[12]}]
    39. #set_property PACKAGE_PIN A16 [get_ports {DAC_DATA1[10]}]
    40. #set_property PACKAGE_PIN A15 [get_ports {DAC_DATA1[11]}]
    41. #set_property PACKAGE_PIN A19 [get_ports {DAC_DATA1[9]}]
    42. #set_property PACKAGE_PIN A18 [get_ports {DAC_DATA1[8]}]
    43. #set_property PACKAGE_PIN F14 [get_ports {DAC_DATA1[7]}]
    44. #set_property PACKAGE_PIN F13 [get_ports {DAC_DATA1[6]}]
    45. #set_property PACKAGE_PIN E14 [get_ports {DAC_DATA1[5]}]
    46. #set_property PACKAGE_PIN E13 [get_ports {DAC_DATA1[4]}]
    47. #set_property PACKAGE_PIN B13 [get_ports {DAC_DATA1[3]}]
    48. #set_property PACKAGE_PIN C13 [get_ports {DAC_DATA1[2]}]
    49. #set_property PACKAGE_PIN D15 [get_ports {DAC_DATA1[1]}]
    50. #set_property PACKAGE_PIN D14 [get_ports {DAC_DATA1[0]}]
    51. #set_property IOSTANDARD LVCMOS33 [get_ports {DACA_WRT}]
    52. #set_property IOSTANDARD LVCMOS33 [get_ports {DACA_CLK}]
    53. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[13]}]
    54. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[12]}]
    55. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[10]}]
    56. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[11]}]
    57. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[9]}]
    58. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[8]}]
    59. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[7]}]
    60. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[6]}]
    61. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[5]}]
    62. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[4]}]
    63. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[3]}]
    64. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[2]}]
    65. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[1]}]
    66. #set_property IOSTANDARD LVCMOS33 [get_ports {DAC_DATA1[0]}]
    复制代码


    1. #--------------GPIO--------------------------
    2. set_property PACKAGE_PIN A13 [get_ports {GPIO0_bit9_0[0]}]
    3. set_property PACKAGE_PIN A14 [get_ports {GPIO0_bit9_0[1]}]
    4. set_property PACKAGE_PIN A15 [get_ports {GPIO0_bit9_0[2]}]
    5. set_property PACKAGE_PIN A16 [get_ports {GPIO0_bit9_0[3]}]
    6. set_property PACKAGE_PIN A18 [get_ports {GPIO0_bit9_0[4]}]
    7. set_property PACKAGE_PIN A19 [get_ports {GPIO0_bit9_0[5]}]
    8. set_property PACKAGE_PIN F13 [get_ports {GPIO0_bit9_0[6]}]
    9. set_property PACKAGE_PIN F14 [get_ports {GPIO0_bit9_0[7]}]
    10. set_property PACKAGE_PIN E13 [get_ports {GPIO0_bit9_0[8]}]
    11. set_property PACKAGE_PIN E14 [get_ports {GPIO0_bit9_0[9]}]
    12. set_property PACKAGE_PIN C13 [get_ports {GPIO0_bit11_10[10]}]
    13. set_property PACKAGE_PIN B13 [get_ports {GPIO0_bit11_10[11]}]
    14. set_property PACKAGE_PIN D14 [get_ports {GPIO0_bit21_12[12]}]
    15. set_property PACKAGE_PIN D15 [get_ports {GPIO0_bit21_12[13]}]
    16. set_property PACKAGE_PIN C14 [get_ports {GPIO0_bit21_12[14]}]
    17. set_property PACKAGE_PIN C15 [get_ports {GPIO0_bit21_12[15]}]
    18. set_property PACKAGE_PIN B15 [get_ports {GPIO0_bit21_12[16]}]
    19. set_property PACKAGE_PIN B16 [get_ports {GPIO0_bit21_12[17]}]
    20. set_property PACKAGE_PIN D17 [get_ports {GPIO0_bit21_12[18]}]
    21. set_property PACKAGE_PIN C17 [get_ports {GPIO0_bit21_12[19]}]
    22. set_property PACKAGE_PIN E16 [get_ports {GPIO0_bit21_12[20]}]
    23. set_property PACKAGE_PIN D16 [get_ports {GPIO0_bit21_12[21]}]
    24. set_property PACKAGE_PIN B17 [get_ports {GPIO0_bit31_22[22]}]
    25. set_property PACKAGE_PIN B18 [get_ports {GPIO0_bit31_22[23]}]
    26. set_property PACKAGE_PIN C18 [get_ports {GPIO0_bit31_22[24]}]
    27. set_property PACKAGE_PIN C19 [get_ports {GPIO0_bit31_22[25]}]
    28. set_property PACKAGE_PIN F16 [get_ports {GPIO0_bit31_22[26]}]
    29. set_property PACKAGE_PIN E17 [get_ports {GPIO0_bit31_22[27]}]
    30. set_property PACKAGE_PIN D20 [get_ports {GPIO0_bit31_22[28]}]
    31. set_property PACKAGE_PIN C20 [get_ports {GPIO0_bit31_22[29]}]
    32. set_property PACKAGE_PIN E19 [get_ports {GPIO0_bit31_22[30]}]
    33. set_property PACKAGE_PIN D19 [get_ports {GPIO0_bit31_22[31]}]

    34. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit9_0[0]}]
    35. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit9_0[1]}]
    36. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit9_0[2]}]
    37. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit9_0[3]}]
    38. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit9_0[4]}]
    39. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit9_0[5]}]
    40. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit9_0[6]}]
    41. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit9_0[7]}]
    42. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit9_0[8]}]
    43. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit9_0[9]}]
    44. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit11_10[10]}]
    45. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit11_10[11]}]
    46. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit21_12[12]}]
    47. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit21_12[13]}]
    48. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit21_12[14]}]
    49. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit21_12[15]}]
    50. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit21_12[16]}]
    51. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit21_12[17]}]
    52. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit21_12[18]}]
    53. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit21_12[19]}]
    54. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit21_12[20]}]
    55. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit21_12[21]}]
    56. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit31_22[22]}]
    57. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit31_22[23]}]
    58. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit31_22[24]}]
    59. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit31_22[25]}]
    60. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit31_22[26]}]
    61. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit31_22[27]}]
    62. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit31_22[28]}]
    63. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit31_22[29]}]
    64. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit31_22[30]}]
    65. set_property IOSTANDARD LVCMOS33 [get_ports {GPIO0_bit31_22[31]}]
    66. #-------------------------------------------------------------------
    复制代码


    1. #USB
    2. set_property PACKAGE_PIN H19 [get_ports {fx2_fdata[15]}]
    3. set_property PACKAGE_PIN J19 [get_ports {fx2_fdata[14]}]
    4. set_property PACKAGE_PIN K17 [get_ports {fx2_fdata[13]}]
    5. set_property PACKAGE_PIN K18 [get_ports {fx2_fdata[12]}]
    6. set_property PACKAGE_PIN L16 [get_ports {fx2_fdata[11]}]
    7. set_property PACKAGE_PIN K16 [get_ports {fx2_fdata[10]}]
    8. set_property PACKAGE_PIN L15 [get_ports {fx2_fdata[9]}]
    9. set_property PACKAGE_PIN L14 [get_ports {fx2_fdata[8]}]
    10. set_property PACKAGE_PIN G15 [get_ports {fx2_fdata[7]}]
    11. set_property PACKAGE_PIN K14 [get_ports {fx2_fdata[6]}]
    12. set_property PACKAGE_PIN G16 [get_ports {fx2_fdata[5]}]
    13. set_property PACKAGE_PIN G13 [get_ports {fx2_fdata[4]}]
    14. set_property PACKAGE_PIN J20 [get_ports {fx2_fdata[3]}]
    15. set_property PACKAGE_PIN G20 [get_ports {fx2_fdata[2]}]
    16. set_property PACKAGE_PIN G17 [get_ports {fx2_fdata[1]}]
    17. set_property PACKAGE_PIN G18 [get_ports {fx2_fdata[0]}]
    18. set_property PACKAGE_PIN H13 [get_ports {fx2_faddr[1]}]
    19. set_property PACKAGE_PIN K13 [get_ports {fx2_faddr[0]}]
    20. set_property PACKAGE_PIN K19 [get_ports fx2_slrd]
    21. set_property PACKAGE_PIN L20 [get_ports fx2_slwr]
    22. set_property PACKAGE_PIN J15 [get_ports fx2_sloe]
    23. set_property PACKAGE_PIN J17 [get_ports fx2_flagc]
    24. set_property PACKAGE_PIN H18 [get_ports fx2_flagb]
    25. set_property PACKAGE_PIN L19 [get_ports fx2_ifclk]
    26. set_property PACKAGE_PIN J14 [get_ports fx2_pkt_end]
    27. set_property PACKAGE_PIN J16 [get_ports fx2_slcs]
    28. set_property PACKAGE_PIN L13 [get_ports fx2_clear]

    29. set_property IOSTANDARD LVCMOS33 [get_ports fx2_sloe]
    30. set_property IOSTANDARD LVCMOS33 [get_ports fx2_slrd]
    31. set_property IOSTANDARD LVCMOS33 [get_ports fx2_slwr]
    32. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_faddr[1]}]
    33. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_faddr[0]}]
    34. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[15]}]
    35. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[14]}]
    36. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[13]}]
    37. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[12]}]
    38. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[11]}]
    39. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[10]}]
    40. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[9]}]
    41. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[8]}]
    42. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[7]}]
    43. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[6]}]
    44. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[5]}]
    45. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[4]}]
    46. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[3]}]
    47. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[2]}]
    48. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[1]}]
    49. set_property IOSTANDARD LVCMOS33 [get_ports {fx2_fdata[0]}]
    50. set_property IOSTANDARD LVCMOS33 [get_ports fx2_flagb]
    51. set_property IOSTANDARD LVCMOS33 [get_ports fx2_flagc]
    52. set_property IOSTANDARD LVCMOS33 [get_ports fx2_ifclk]
    53. set_property IOSTANDARD LVCMOS33 [get_ports fx2_pkt_end]
    54. set_property IOSTANDARD LVCMOS33 [get_ports fx2_slcs]
    55. set_property IOSTANDARD LVCMOS33 [get_ports fx2_clear]
    复制代码



    1. #摄像头(板载接口)
    2. set_property PACKAGE_PIN N20 [get_ports camera_rst_n]
    3. set_property IOSTANDARD LVCMOS33 [get_ports camera_rst_n]
    4. set_property PACKAGE_PIN J2 [get_ports {camera_data[0]}]
    5. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[0]}]
    6. set_property PACKAGE_PIN J1 [get_ports {camera_data[1]}]
    7. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[1]}]
    8. set_property PACKAGE_PIN G1 [get_ports {camera_data[2]}]
    9. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[2]}]
    10. set_property PACKAGE_PIN H2 [get_ports {camera_data[3]}]
    11. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[3]}]
    12. set_property PACKAGE_PIN F1 [get_ports {camera_data[4]}]
    13. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[4]}]
    14. set_property PACKAGE_PIN G2 [get_ports {camera_data[5]}]
    15. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[5]}]
    16. set_property PACKAGE_PIN D1 [get_ports {camera_data[6]}]
    17. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[6]}]
    18. set_property PACKAGE_PIN E1 [get_ports {camera_data[7]}]
    19. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[7]}]
    20. set_property PACKAGE_PIN M17 [get_ports camera_xclk]
    21. set_property IOSTANDARD LVCMOS33 [get_ports camera_xclk]
    22. set_property PACKAGE_PIN B1 [get_ports camera_pclk]
    23. set_property IOSTANDARD LVCMOS33 [get_ports camera_pclk]
    24. set_property PACKAGE_PIN A1 [get_ports camera_href]
    25. set_property IOSTANDARD LVCMOS33 [get_ports camera_href]
    26. set_property PACKAGE_PIN B2 [get_ports camera_vsync]
    27. set_property IOSTANDARD LVCMOS33 [get_ports camera_vsync]
    28. set_property PACKAGE_PIN L18 [get_ports camera_sdat]
    29. set_property IOSTANDARD LVCMOS33 [get_ports camera_sdat]
    30. set_property PULLUP true [get_ports camera_sdat]
    31. set_property PACKAGE_PIN M20 [get_ports camera_sclk]
    32. set_property IOSTANDARD LVCMOS33 [get_ports camera_sclk]
    33. set_property PULLUP true [get_ports camera_sclk]

    34. set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets camera_pclk_IBUF]
    复制代码



    1. #5/4.3寸TFT屏模块(使用扩展口GPIO2)
    2. set_property PACKAGE_PIN R17 [get_ports TFT_clk]
    3. set_property IOSTANDARD LVCMOS33 [get_ports TFT_clk]
    4. set_property PACKAGE_PIN N17 [get_ports TFT_de]
    5. set_property IOSTANDARD LVCMOS33 [get_ports TFT_de]
    6. set_property PACKAGE_PIN R18 [get_ports TFT_pwm]
    7. set_property IOSTANDARD LVCMOS33 [get_ports TFT_pwm]
    8. set_property PACKAGE_PIN T18 [get_ports TFT_hs]
    9. set_property IOSTANDARD LVCMOS33 [get_ports TFT_hs]
    10. set_property PACKAGE_PIN U18 [get_ports TFT_vs]
    11. set_property IOSTANDARD LVCMOS33 [get_ports TFT_vs]
    12. set_property PACKAGE_PIN J5 [get_ports {TFT_rgb[0]}]
    13. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[0]}]
    14. set_property PACKAGE_PIN K6 [get_ports {TFT_rgb[1]}]
    15. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[1]}]
    16. set_property PACKAGE_PIN R16 [get_ports {TFT_rgb[2]}]
    17. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[2]}]
    18. set_property PACKAGE_PIN R14 [get_ports {TFT_rgb[3]}]
    19. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[3]}]
    20. set_property PACKAGE_PIN P17 [get_ports {TFT_rgb[4]}]
    21. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[4]}]
    22. set_property PACKAGE_PIN P6 [get_ports {TFT_rgb[5]}]
    23. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[5]}]
    24. set_property PACKAGE_PIN N4 [get_ports {TFT_rgb[6]}]
    25. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[6]}]
    26. set_property PACKAGE_PIN M6 [get_ports {TFT_rgb[7]}]
    27. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[7]}]
    28. set_property PACKAGE_PIN N5 [get_ports {TFT_rgb[8]}]
    29. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[8]}]
    30. set_property PACKAGE_PIN L6 [get_ports {TFT_rgb[9]}]
    31. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[9]}]
    32. set_property PACKAGE_PIN M5 [get_ports {TFT_rgb[10]}]
    33. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[10]}]
    34. set_property PACKAGE_PIN R1 [get_ports {TFT_rgb[11]}]
    35. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[11]}]
    36. set_property PACKAGE_PIN P2 [get_ports {TFT_rgb[12]}]
    37. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[12]}]
    38. set_property PACKAGE_PIN N3 [get_ports {TFT_rgb[13]}]
    39. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[13]}]
    40. set_property PACKAGE_PIN P4 [get_ports {TFT_rgb[14]}]
    41. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[14]}]
    42. set_property PACKAGE_PIN P5 [get_ports {TFT_rgb[15]}]
    43. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[15]}]
    复制代码



    1. #HDMI1 板载HDMI接口1
    2. set_property PACKAGE_PIN K4 [get_ports hdmi1_clk_p]
    3. set_property IOSTANDARD TMDS_33 [get_ports hdmi1_clk_p]
    4. #set_property PACKAGE_PIN J4 [get_ports hdmi1_clk_n]
    5. #set_property IOSTANDARD TMDS_33 [get_ports hdmi1_clk_n]
    6. set_property PACKAGE_PIN L3 [get_ports {hdmi1_dat_p[0]}]
    7. set_property IOSTANDARD TMDS_33 [get_ports {hdmi1_dat_p[0]}]
    8. #set_property PACKAGE_PIN K3 [get_ports {hdmi1_dat_n[0]}]
    9. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi1_dat_n[0]}]
    10. set_property PACKAGE_PIN L5 [get_ports {hdmi1_dat_p[1]}]
    11. set_property IOSTANDARD TMDS_33 [get_ports {hdmi1_dat_p[1]}]
    12. #set_property PACKAGE_PIN L4 [get_ports {hdmi1_dat_n[1]}]
    13. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi1_dat_n[1]}]
    14. set_property PACKAGE_PIN M3 [get_ports {hdmi1_dat_p[2]}]
    15. set_property IOSTANDARD TMDS_33 [get_ports {hdmi1_dat_p[2]}]
    16. #set_property PACKAGE_PIN M2 [get_ports {hdmi1_dat_n[2]}]
    17. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi1_dat_n[2]}]
    18. set_property PACKAGE_PIN H5 [get_ports hdmi1_oe]
    19. set_property IOSTANDARD LVCMOS33 [get_ports hdmi1_oe]
    复制代码



    1. #HDMI2 板载HDMI接口2
    2. set_property PACKAGE_PIN H4 [get_ports hdmi2_clk_p]
    3. set_property IOSTANDARD TMDS_33 [get_ports hdmi2_clk_p]
    4. #set_property PACKAGE_PIN G4 [get_ports hdmi2_clk_n]
    5. #set_property IOSTANDARD TMDS_33 [get_ports hdmi2_clk_n]
    6. set_property PACKAGE_PIN E2 [get_ports {hdmi2_dat_p[0]}]
    7. set_property IOSTANDARD TMDS_33 [get_ports {hdmi2_dat_p[0]}]
    8. #set_property PACKAGE_PIN D2 [get_ports hdmi2_dat_n[0]]
    9. #set_property IOSTANDARD TMDS_33 [get_ports hdmi2_dat_n[0]]
    10. set_property PACKAGE_PIN F3 [get_ports {hdmi2_dat_p[1]}]
    11. set_property IOSTANDARD TMDS_33 [get_ports {hdmi2_dat_p[1]}]
    12. #set_property PACKAGE_PIN E3 [get_ports hdmi2_dat_n[1]]
    13. #set_property IOSTANDARD TMDS_33 [get_ports hdmi2_dat_n[1]]
    14. set_property PACKAGE_PIN H3 [get_ports {hdmi2_dat_p[2]}]
    15. set_property IOSTANDARD TMDS_33 [get_ports {hdmi2_dat_p[2]}]
    16. #set_property PACKAGE_PIN G3 [get_ports hdmi2_dat_n[2]]
    17. #set_property IOSTANDARD TMDS_33 [get_ports hdmi2_dat_n[2]]
    18. set_property PACKAGE_PIN K2 [get_ports hdmi2_oe]
    19. set_property IOSTANDARD LVCMOS33 [get_ports hdmi2_oe]
    复制代码


    1. #------------电容触摸屏------------------
    2. set_property PACKAGE_PIN P16 [get_ports tp_sda]
    3. set_property IOSTANDARD LVCMOS33 [get_ports tp_sda]
    4. set_property PACKAGE_PIN N15 [get_ports tp_scl]
    5. set_property IOSTANDARD LVCMOS33 [get_ports tp_scl]
    6. set_property PACKAGE_PIN P15 [get_ports tp_rst]
    7. set_property IOSTANDARD LVCMOS33 [get_ports tp_rst]
    8. set_property PACKAGE_PIN N13 [get_ports tp_int]
    9. set_property IOSTANDARD LVCMOS33 [get_ports tp_int]
    复制代码


    1. #--------启动速度-----------------
    2. set_property BITSTREAM.CONFIG.CONFIGRATE 33 [current_design]
    3. set_property CONFIG_MODE SPIx4 [current_design]

    复制代码

    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-7-27 10:30 , Processed in 0.192905 second(s), 33 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表