芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 6973|回复: 1

ACZ702开发板管脚信息表

[复制链接]
  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 423

    主题

    782

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15854
    QQ
    发表于 2022-6-23 16:39:18 | 显示全部楼层 |阅读模式
    功能名称信号名FPGA管脚号
    无源蜂鸣器BEEPG15
    独立按键FPGA_KEY0F20
    独立LED灯FPGA_LED0T14
    系统时钟50MHzFPGA_GCLK1U18
    WM8960音频I2S_MCLKT11
     I2S_BCLKV12
     I2S_DACLRCT12
     I2S_DACDATW13
     I2S_ADCLRCU12
     I2S_ADCDATV13
     AUD_I2C_SDAR14
     AUD_I2C_SCLT10
    摄像头接口CMOS_D0J15
     CMOS_D1M14
     CMOS_D2N15
     CMOS_D3L14
     CMOS_D4M15
     CMOS_D5K17
     CMOS_D6L17
     CMOS_D7L16
     CMOS_PCLKM17
     CMOS_HREFU13
     CMOS_VSN16
     CMOS_SDATP18
     CMOS_SCLKN17
     CMOS_XCLKA20
    FPGA 以太网RGMIIPL_ENET0_RX_CLKN18
     PL_ENET0_RX_DVY14
     PL_ENET0_RX_DATA0P14
     PL_ENET0_RX_DATA1V15
     PL_ENET0_RX_DATA2Y16
     PL_ENET0_RX_DATA3P15
     PL_ENET0_GTX_CLKP16
     PL_ENET0_TX_ENT17
     PL_ENET0_TX_DATA0R18
     PL_ENET0_TX_DATA1T19
     PL_ENET0_TX_DATA2T20
     PL_ENET0_TX_DATA3U20
     PL_ENET0_MDIOB20
     PL_ENET0_MDCC20
     PL_ENET0_RESETB19
    通用扩展接口PL_GPIO0L15
     PL_GPIO1K14
     PL_GPIO2J14
     PL_GPIO3G14
     PL_GPIO4H15
     PL_GPIO5F16
     PL_GPIO6E17
     PL_GPIO7D18
     PL_GPIO8E18
     PL_GPIO9D19
     PL_GPIO10D20
     PL_GPIO11F17
     PL_GPIO12E19
     PL_GPIO13G17
     PL_GPIO14G19
     PL_GPIO15G20
     PL_GPIO16G18
     PL_GPIO17H20
     PL_GPIO18H16
     PL_GPIO19J20
     PL_GPIO20J19
     PL_GPIO21H18
     PL_GPIO22K19
     PL_GPIO23J18
     PL_GPIO24H17
     PL_GPIO25K18
     PL_GPIO26J16
     PL_GPIO27K16
     PL_GPIO28L20
     PL_GPIO29L19
     PL_GPIO30M20
     PL_GPIO31M19
     PL_GPIO32M18
     PL_GPIO33P20
     PL_GPIO34N20
     PL_GPIO35P19
    TFT显示屏/HDMIDisplay_PCLKU15
     Display_DEW15
     Display_BLR17
     Display_HSYNCU14
     Display_VSYNCW14
     Display_R4W20
     Display_R3W19
     Display_R2V17
     Display_R1V16
     Display_R0T15
     Display_G5V20
     Display_G4U17
     Display_G3V18
     Display_G2T16
     Display_G1R16
     Display_G0U19
     Display_B4Y19
     Display_B3W18
     Display_B2Y18
     Display_B1W16
     Display_B0Y17


    ACZ702开发板主板管脚资源定义表.xlsx (12.64 KB, 下载次数: 443)
    acz702.xdc (7.46 KB, 下载次数: 79)
    回复

    使用道具 举报

  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 423

    主题

    782

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15854
    QQ
     楼主| 发表于 2023-6-8 20:18:29 | 显示全部楼层

    ACZ702接RGBTFT显示屏引脚约束内容

    1. set_property IOSTANDARD LVCMOS33 [get_ports lcd_pclk]
    2. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[15]}]
    3. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[14]}]
    4. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[13]}]
    5. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[12]}]
    6. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[11]}]
    7. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[10]}]
    8. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[9]}]
    9. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[8]}]
    10. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[7]}]
    11. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[6]}]
    12. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[5]}]
    13. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[4]}]
    14. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[3]}]
    15. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[2]}]
    16. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[1]}]
    17. set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data[0]}]
    18. set_property IOSTANDARD LVCMOS33 [get_ports lcd_de]
    19. set_property IOSTANDARD LVCMOS33 [get_ports lcd_hs]
    20. set_property IOSTANDARD LVCMOS33 [get_ports lcd_vs]
    21. set_property IOSTANDARD LVCMOS33 [get_ports lcd_bl]
    22. set_property PACKAGE_PIN U15 [get_ports lcd_pclk]
    23. set_property PACKAGE_PIN W20 [get_ports {lcd_data[15]}]
    24. set_property PACKAGE_PIN W19 [get_ports {lcd_data[14]}]
    25. set_property PACKAGE_PIN V17 [get_ports {lcd_data[13]}]
    26. set_property PACKAGE_PIN V16 [get_ports {lcd_data[12]}]
    27. set_property PACKAGE_PIN T15 [get_ports {lcd_data[11]}]
    28. set_property PACKAGE_PIN V20 [get_ports {lcd_data[10]}]
    29. set_property PACKAGE_PIN U17 [get_ports {lcd_data[9]}]
    30. set_property PACKAGE_PIN V18 [get_ports {lcd_data[8]}]
    31. set_property PACKAGE_PIN T16 [get_ports {lcd_data[7]}]
    32. set_property PACKAGE_PIN R16 [get_ports {lcd_data[6]}]
    33. set_property PACKAGE_PIN U19 [get_ports {lcd_data[5]}]
    34. set_property PACKAGE_PIN Y19 [get_ports {lcd_data[4]}]
    35. set_property PACKAGE_PIN W18 [get_ports {lcd_data[3]}]
    36. set_property PACKAGE_PIN Y18 [get_ports {lcd_data[2]}]
    37. set_property PACKAGE_PIN W16 [get_ports {lcd_data[1]}]
    38. set_property PACKAGE_PIN Y17 [get_ports {lcd_data[0]}]
    39. set_property PACKAGE_PIN W15 [get_ports lcd_de]
    40. set_property PACKAGE_PIN U14 [get_ports lcd_hs]
    41. set_property PACKAGE_PIN W14 [get_ports lcd_vs]
    42. set_property PACKAGE_PIN R17 [get_ports lcd_bl]
    复制代码
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-4-25 13:19 , Processed in 0.187254 second(s), 35 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表