芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 9481|回复: 0

【产品资料】【扩展模块】ACM2108高速ADC+DAC模块资料和使用说明

[复制链接]
  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 425

    主题

    785

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15874
    QQ
    发表于 2022-10-17 17:47:13 | 显示全部楼层 |阅读模式
    模块介绍

    本模块基于国产知名模拟器件设计和制造商杭州瑞盟公司的8位 50M采样速率高速ADC 芯片MS9280(完全兼容AD9280,但是速度比AD9280高)和125Msps转换速率的高速DAC芯片MS9708(完全兼容AD9708)。配合前端模拟信号调理电路,实现了±5V电压范围内信号的高速采样,以及模拟信号输出。以下为模块实物图。
    ACM2108.jpg




    本模块可用于小梅哥全系列FPGA、SOC 、Zynq开发板,包括国产开发板和各核心板的评估底板。
    AC620、AC6102、ACX720、ACZ702、AC609、智多晶FPGA开发板(AC208-SA5Z)、AC608评估底板、AC601评估底板、AC675评估底板。。。。。。。。更多可联系我们确认

    本模块可用于友晶的FPGA开发板、SOC开发板,如:
    DE2-35、DE2-70、DE2-115、DE1-SoC、DE10-Stander、DE0-SoC-nano。。。。。。更多可联系我们确认



    原理图
    ACM2108.pdf (885.01 KB, 下载次数: 756)


    接各开发板的管脚信息表
    ACM2108开发板管脚信息表.xlsx (11.25 KB, 下载次数: 375)


    例程

    基于AC609开发板,DAC输出正弦波,quartus使用signaltap抓取ADC采集数据并显示为模拟波形
    ac609_acm2108_test_gpio0.rar (120.45 KB, 下载次数: 222)
    ac609_acm2108_test_gpio1.rar (156.51 KB, 下载次数: 133)

    基于AC620开发板,DAC输出正弦波,quartus使用signaltap抓取ADC采集数据并显示为模拟波形
    ac620_acm2108_test_gpio0.rar (133.11 KB, 下载次数: 237)

    基于AC208-ep4ce10开发板,DAC输出正弦波,quartus使用signaltap抓取ADC采集数据并显示为模拟波形
    ac208_ep4ce10_acm2108_test_gpio0.rar (140.43 KB, 下载次数: 154)


    基于ACZ702开发板纯逻辑设计的测试工程,DAC输出模拟波形,Vivado使用ILA抓取ADC采集数据并显示为模拟波形
    ACZ702_ACM2108_Test_010.rar (917.9 KB, 下载次数: 223)
    ACZ702_ACM2108_Test_020.rar (957.9 KB, 下载次数: 199)

    基于ACX720开发板纯逻辑设计的测试工程,DAC输出模拟波形,Vivado使用ILA抓取ADC采集数据并显示为模拟波形
    ACX720_ACM2108_Test_35T.rar (601.25 KB, 下载次数: 373)
    ACX720_ACM2108_Test_100T.rar (506.75 KB, 下载次数: 191)
    ACX720_ACM2108_Test_200T.rar (503.21 KB, 下载次数: 194)

    基于ACX720开发板的数据采集例程,数据采集后存储在DDR3存储器中,然后由串口发送到电脑端,再通过matlab绘制波形
    ACM108/ACM2108数据采集DDR3缓存串口发送实验
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=29232
       

    基于AC208-sa5z开发板,DAC输出正弦波,hqfpga使用在线逻辑分析仪抓取ADC采集数据并显示为模拟波形
    ac208_sa5z_acm2108_test.rar (191.09 KB, 下载次数: 162)




    【软件下载】AAA-Tina-TI  官方汉化版软件下载链接
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=29217


    MS9280前端信号调理电路仿真图.TSC (22.86 KB, 下载次数: 172)

    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-5-7 23:22 , Processed in 0.116632 second(s), 36 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表