芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 1965|回复: 0

Vivado报错:[Place 30-574] Poor placement for routing between an IO pin and BUFG

[复制链接]

该用户从未签到

59

主题

82

帖子

1355

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
1355
发表于 2023-3-31 16:30:45 | 显示全部楼层 |阅读模式
【[问题描述】

最近有群友提问,设计在生成bit流阶段报错:“[Place 30-574] Poor placement for routing between an IO pin and BUFG......”,完整的报错截图如下:


QQ图片20230331161111.jpg

【报错原因】

该报错是因为设计中使用到了时钟信号Clk,但是该时钟信号并非来自FPGA板子上的晶振,而是外部输入的时钟信号。对于这类信号,如果我们在引脚分配时直接将其绑定在普通的IO引脚上,软件就会报上述错误


【解决办法】

解决的方式有两种,一种是更换绑定引脚,将信号绑定到专门的时钟引脚即可。而如果不能更换引脚,且本地资源上的次优路由是可接受的,那么就可以在.xdc文件中通过CLOCK_DEDICATED_ROUTE约束将该报错信息降级为警告。报错中给出了对应的约束语句,以本次报错为例,对应的约束语句如下:

[C#] 纯文本查看 复制代码
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Clk]

QQ图片20230331172141.jpg
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

GMT+8, 2024-5-19 06:50 , Processed in 0.109927 second(s), 34 queries .

Powered by Discuz! X3.4

© 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

快速回复 返回顶部 返回列表