芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 1262|回复: 0

【紫光同创】使用Modelsim仿真PDS (Modelsim独立仿真流程)

[复制链接]

该用户从未签到

27

主题

29

帖子

218

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
218
发表于 2023-4-6 10:57:55 | 显示全部楼层 |阅读模式
7  Modelsim独立仿真PDS设计流程

双击打开ModelSim软件,首先点击菜单栏中“File”,然后点击“Change Directory”选项进入路径切换页面,如图 7 1所示。
1.png

图 7 1 仿真路径设置页面

在打开的选择文件夹页面,定位到Modelsim软件安装的根目录,如笔者的是Modelsim64_10.7,如图 7 2所示:
2.png

图 7 2 Modelsim软件安装的根目录

点击图 7 2中的“选择文件夹”按钮,页面自动跳转到新的页面如图 7 3。在菜单栏依次点击“File”,“New”-,“library”选项,以打开新建库界面。如图 7 3所示。
3.png

图 7 3 选择新建库

在打开的界面中选择第一项“a new library”,“library Physical Name”输入“pango”,然后点击“OK”,如图 7 4所示。
4.png

图 7 4  新建库名称

如果在Library列表中出现了pango(empty)库,且路径为前面设置的位置(工程根目录下),则表明库新建完成如图 7 5所示。
5.png

图 7 5 新建库名称及位置

在 Modelsim 中建立一个新的 project,依次选择“File”,“New”,“project”如图 7 6所示。
11.png

图 7 6 建立新project

进入建立新工程的设置界面,如图 7 7所示。在“Project Name”栏中填写工程名,这里我们把工程命名为相对应的工程名“bin_counter”,“Project Location”是工程路径,点击“Browse”进行设置,根据需要我们把仿真工程保存到我们设计工程下,这里路径设置到我们仿真文件所在的sorce文件夹下。
12.png

图 7 7 工程名及路径设置

点击图 7 7中的“OK”按钮,进入图 7 8页面从该图的选择窗口中看出,有四种操作可选择:Create New File(创建新文件)、Add Existing File(添加已有文件)、Create Simulation(创建仿真) 和 Create New Folder(创建新文件夹)。由于我们的工程文件已经设计好了,这里点击“Add Existing File”即可。
13.png

图 7 8 Add items to the Project页面


点击图 7 9中的Browse将工程文件夹下面的“bin_counter”,“bin_counter_tb”添加进我们的工程设计。
14.png

图 7 9 添加文件到工程

15.png


图 7 10需要添加文件的绝对路径

现在可以看到在Modelsim中添加了我们的工程设计文件,如图 7 11。
16.png

图 7 11文件已添加页面显示
编译的方式有两种:
1、Compile Selected(编译所选文件)。编译所选功能需要先选中一个或几个文件,执行该命令可以完成对选中文件的编译;
2、 Compile All(编译全部文件)。编译全部功能不需要选中文件,该命令是按编译顺序对工程中的所有文件进行编译。右击需要编译文件,选择 Compile->Compile All 如图 7 12所示。
17.png

图 7 12编译页面

文件编译后“Status”中的“?”变为“√”表示编译通过,如图 7 13
18.png

图 7 13编译通过页面

还有两个在设计中不希望出现的状态:编译错误(显示红色的“×”)和包含警告的编译通过(对号的后面会出现一个黄色的三角符号)。
编译错误即 Modelsim 无法完成文件的编译工作。通常这种情况是因为被编译文件中包含明显的语法错误,Modelsim 会识别出这些语法错误并提示使用者,使用者可根据 Modelsim 的提示信息进行修改
编译完成后,接下来我们就开始配置仿真环境,我们在project 状态栏中右键点击,依次选择“Add to Project”,“Simulation Configuration…”并点击。
19.png

图 7 14仿真设置页面

进入“Add SimulationConfiguration ”页面,我们在 Design 标签页面中选择 work 库中的“bin_counter_tb”模块作为设计顶层,点击复制模块名作为仿真配置“Simulation Configuration Name”的命名,确保命名保持一致。在复杂的工程设计中,我们可以设计多个不同的仿真配置顶层对工程进行仿真测试。
20.png

图 7 15“Add SimulationConfiguration ”页面

点击图 7 15中的“Optimization Options...”选项,在图 7 16中设置栏选择“Apply full visibility to all modules(full debug mode)”,点击“OK”。
21.png

图 7 16“Optimization Options”页面

点击进入图 7 17中的“Libraries” 设置栏,在“Search Libraries (-L)”一栏点击“Add…”添加我们新建的库文件“pango”,在“Search Libraries First (-Lf)”同样选择库文件“pango”,(均把路径设置在pango库安装的绝对路径中)点击“Save”。
22.png

图 7 17“ Add Simulation Configuration”页面

将配置保存后会在project栏中产生仿真配置文件“bin_counter_tb”,如图 7 18所示。
23.png

图 7 18仿真配置文件

点击图 7 18中箭头指向的仿真配置文件“bin_counter_tb”,进入“sim”仿真页面,如图 7 19。
24.png

图 7 19“sim”仿真页面

在“sim”界面我们可以添加我们想要观察模块的波形,选中模块,右键点击选择“Add Wave”选项。
25.png

图 7 20仿真波形图

更多紫光同创FPGA相关资料,请查看下述汇总贴
【紫光同创】紫光同创国产FPGA产品使用自助服务手册



回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

GMT+8, 2024-5-2 16:00 , Processed in 0.115597 second(s), 34 queries .

Powered by Discuz! X3.4

© 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

快速回复 返回顶部 返回列表