芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 2210|回复: 0

【紫光同创】千兆以太网UDP回环测试

[复制链接]

该用户从未签到

27

主题

29

帖子

218

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
218
发表于 2023-4-23 15:57:00 | 显示全部楼层 |阅读模式

案例简介

为了完成基本的以太网回环测试,我们提供了一个基于FPGA的以太网回环测试程序。该程序使用UDP协议,接收PC发送的UDP数据包,提取出其中的数据部分并使用UDP协议发回给PC。该工程主要包括以下模块:
1  eth_udp_rx_gmii  UDP协议接收模块,该模块能够完整的解析从以太网PHY的GMII接口收到的数据并解析得到发送方的MAC地址、IP地址、UDP报文的数据部分等;

2  crc32_d8  CRC32校验逻辑,实现对MAC层数据的CRC32校验功能;

3  ip_checksum IP报头校验和计算模块,该模块通过IP首部校验和算法对IP的头部进行计算,并得出一个数值,该值用来检测数据是否出错,如果计算的结果与IP数据报本身包含的报头校验和不相等,则数据在传输过程中发生了错误,当被舍弃;

4  eth_data_buf   数据缓存FIFO,以太网接收的数据会被写入该FIFO,然后由发送逻辑将数据读出并最终以UDP协议发送;

5  eth_msg_buf  消息数据缓存FIFO,UDP接收模块解析出的MAC、IP等消息数据会被写入该FIFO,然后交由发送模块作为目的设备的地址参数;

6  eth_udp_tx_gmii  UDP协议发送模块,该模块将从FIFO中读取到的内容经过UDP、IP、MAC层协议层层打包后,通过GMII接口输出给以太网PHY芯片,以完成数据的发送;

7 eth_udp_loopback_gmii   整个工程的设计顶层文件,例化了UDP接收和UDP发送模块, 实现完整的回环测试功能;

eth_udp_loopback_rgmii.rar (141.51 KB, 下载次数: 322)

更多紫光同创FPGA相关资料,请查看下述汇总贴
【紫光同创】紫光同创国产FPGA产品使用自助服务手册



回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

GMT+8, 2024-4-29 19:21 , Processed in 0.111994 second(s), 34 queries .

Powered by Discuz! X3.4

© 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

快速回复 返回顶部 返回列表