芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 1376|回复: 0

【Vivado使用】无法修改引脚分配解决办法

[复制链接]

该用户从未签到

59

主题

82

帖子

1347

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
1347
发表于 2023-7-28 10:27:41 | 显示全部楼层 |阅读模式

最近在进行工程移植时,出现了部分引脚无法修改的情况,如下图:

image.png

可以看到,reset_n信号引脚分配处并没有下拉项,上方的IO端口特性中也显示了此时该引脚为只读状态。这是在移植时由于更改了芯片型号,部分引脚无效被软件“锁定了”导致的。这里直接给出解决办法。

【方法1】在属性中直接修改引脚分配

选中无法修改的引脚,找到IO端口属性,在属性栏中找到PACKAGE_PIN,点击右侧的笔迹图标修改待分配引脚后回车保存即可完成修改。

image.png

【方法二】修改xdc文件

由于我们在GUI界面中进行的所有约束操作,最终都会转化为约束语句存放在xdc文件中,所以这里我们也可以直接对xdc文件中对应信号约束语句作修改

image.png

xdc文件位置如图,打开可以看到第9行语句正是对reset_n信号的引脚分配语句,这里直接将F20修改为我们想要分配的引脚后保存即可完成修改。

回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

GMT+8, 2024-5-9 09:12 , Processed in 0.211494 second(s), 35 queries .

Powered by Discuz! X3.4

© 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

快速回复 返回顶部 返回列表