芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 2616|回复: 1

BX71 ZYNQ核心板资料

[复制链接]
  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 425

    主题

    785

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15869
    QQ
    发表于 2023-12-6 10:38:59 | 显示全部楼层 |阅读模式
    BX71资料百度网盘下载:https://pan.baidu.com/s/1zSiVDcZt-YsozpEhzkXcSA?pwd=rncr


    本帖子二楼有详细的硬件介绍和管脚约束脚本内容。

    图纸
    • 核心板原理图: BX71-23122701.pdf (3.08 MB, 下载次数: 374)
    • 核心板元件位置图: BX71_V3-装配图.pdf (143.22 KB, 下载次数: 203)


    驱动


    源码(基于Vivado2018.3)
    • 【裸机】将BX71配置为一个U盘: BX71_020_uDisk.zip (9.57 MB, 下载次数: 144)
    • 【裸机】裸机运行LWIP模版7010: BX71_7010_ETH0.zip (12.17 MB, 下载次数: 0)
    • 【裸机】裸机运行LWIP模版7020: BX71_7020_ETH0.zip (16.57 MB, 下载次数: 0)
    • 【逻辑】使用FPGA实现HDMI显示: bx71_hdmi_colour_bar.zip (84.45 KB, 下载次数: 109)
    • 【逻辑】双目OV图像采集以太网传输源码: BX71_OV5640X2_UDP_010.zip (18.43 MB, 下载次数: 128)
    • 【系统】核心板出厂系统对应的Vivado工程020: BX71_USB_Eth_Linux_Prj.zip (11.52 MB, 下载次数: 198)
    • 【系统】核心板出厂系统对应的Vivado工程010: BX71_USB_Eth_Linux_Prj_010.zip (16.66 MB, 下载次数: 69)
    • 【系统】PetaLinux配置源码 BX71_USB_Eth_Linux_Source.tar.gz (1 MB, 下载次数: 127)




    使用手册
    【BX71】【出厂固件】烧录img镜像文件
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=29667

    【BX71】【Linux】HDMI与LCD显示Linux界面
    http://www.corecourse.cn/forum.php?mod=viewthread&tid=29668


    BX71_V3_Top.png
    BX71_V-_Bottom.png


    本帖子二楼有详细的硬件介绍和管脚约束脚本内容。
    image.png
    回复

    使用道具 举报

  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 425

    主题

    785

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15869
    QQ
     楼主| 发表于 2023-12-26 21:41:41 | 显示全部楼层

    ZYNQ芯片型号
    7010版:XC7Z010CLG400-2I
    7020版:XC7Z020CLG400-2I

    DDR3参数
    核心板上使用的DDR3,在配置ZYNQ芯片的DDR型号时,请选择兼容型号:MT41K256M16 RE-125,位宽为16位

    PS按键
    PS侧连接了一个轻触按键,按下为低电平。释放高电平。接到了ZYNQ芯片的MIO47脚上。可以作为用户按键。

    PS LED灯
    PS侧连接了一个发光二极管,高电平点亮,低电平熄灭。接到了ZYNQ芯片的MIO0脚上。可以作为用户程序的指示灯。

    PS UART串口
    PS的调试串口使用UART1,使用MIO48和MIO49引出。在板上设计了一片CH9102F芯片,将UART协议转换为USB协议,方便用户接到电脑上,实现了简单方便的USB转串口功能。


    PS IO Bank电平标准
    PS Bank0
    电压标准可以设置为1.8V或3.3V,通过2个磁珠FB9和FB10焊接与否选择
    image.png
    默认是FB10焊接,FB9不焊接,所以BNAK1的电平标准设置的是3.3V
    如果希望让BANK0工作在1.8V,则将FB10取下,焊接到FB9的位置即可。

    PS Bank1
    电压标准可以设置为1.8V或3.3V,通过2个磁珠FB11和FB1焊接与否选择
    image.png
    默认是FB11焊接,FB1不焊接,所以BNAK1的电平标准设置的是3.3V
    如果希望让BANK1工作在1.8V,则将FB11取下,焊接到FB1的位置即可。


    PL时钟
    PL使用一个50MHz的有源晶振提供时钟,接到了ZYNQ芯片的U18脚上,以下为时钟信号的管脚约束

    1. #system slock
    2. set_property PACKAGE_PIN U18 [get_ports clk50m]
    3. set_property IOSTANDARD LVCMOS33 [get_ports clk50m]
    复制代码


    PL按键
    PL侧连接了一个轻触按键,按下为低电平。释放高电平。接到了ZYNQ芯片的T19脚上。可以作为用户按键或者PL逻辑的复位按键。以下为该按键的管脚约束

    1. #reset active low,S4
    2. set_property PACKAGE_PIN T19 [get_ports reset_n]
    3. set_property IOSTANDARD LVCMOS33 [get_ports reset_n]
    复制代码


    PL LED灯
    PL侧连接了一个发光二极管,高电平点亮,低电平熄灭。接到了ZYNQ芯片的T10脚上。可以作为用户程序的指示灯。以下为该按键的管脚约束

    1. #LED high on,D9
    2. set_property PACKAGE_PIN T10 [get_ports PL_LED]
    3. set_property IOSTANDARD LVCMOS33 [get_ports PL_LED]
    复制代码



    千兆以太网接口
    核心板上以太网电路,硬件连接到了PL侧,这样大家既可以使用FPGA的逻辑驱动该网口,又可以使用PS驱动该网口,两边都能用,更加方便。以下为核心板上以太网电路的管脚约束

    1. set_property IOSTANDARD LVCMOS33 [get_ports MDIO_PHY_0_mdc]
    2. set_property IOSTANDARD LVCMOS33 [get_ports MDIO_PHY_0_mdio_io]
    3. set_property IOSTANDARD LVCMOS33 [get_ports {RGMII_0_rd[3]}]
    4. set_property IOSTANDARD LVCMOS33 [get_ports {RGMII_0_rd[2]}]
    5. set_property IOSTANDARD LVCMOS33 [get_ports {RGMII_0_rd[1]}]
    6. set_property IOSTANDARD LVCMOS33 [get_ports {RGMII_0_rd[0]}]
    7. set_property IOSTANDARD LVCMOS33 [get_ports {RGMII_0_td[3]}]
    8. set_property IOSTANDARD LVCMOS33 [get_ports {RGMII_0_td[2]}]
    9. set_property IOSTANDARD LVCMOS33 [get_ports {RGMII_0_td[1]}]
    10. set_property IOSTANDARD LVCMOS33 [get_ports {RGMII_0_td[0]}]
    11. set_property IOSTANDARD LVCMOS33 [get_ports RGMII_0_rx_ctl]
    12. set_property IOSTANDARD LVCMOS33 [get_ports RGMII_0_rxc]
    13. set_property IOSTANDARD LVCMOS33 [get_ports RGMII_0_tx_ctl]
    14. set_property IOSTANDARD LVCMOS33 [get_ports RGMII_0_txc]
    15. set_property PACKAGE_PIN R19 [get_ports MDIO_PHY_0_mdio_io]
    16. set_property PACKAGE_PIN H17 [get_ports RGMII_0_txc]
    17. set_property PACKAGE_PIN H16 [get_ports RGMII_0_rxc]
    18. set_property PACKAGE_PIN G18 [get_ports {RGMII_0_td[1]}]
    19. set_property PACKAGE_PIN N16 [get_ports {RGMII_0_rd[3]}]
    20. set_property PACKAGE_PIN M14 [get_ports {RGMII_0_rd[2]}]
    21. set_property PACKAGE_PIN M15 [get_ports {RGMII_0_rd[0]}]
    22. set_property PACKAGE_PIN M17 [get_ports {RGMII_0_rd[1]}]
    23. set_property PACKAGE_PIN M18 [get_ports RGMII_0_rx_ctl]
    24. set_property PACKAGE_PIN K16 [get_ports {RGMII_0_td[2]}]
    25. set_property PACKAGE_PIN J16 [get_ports {RGMII_0_td[0]}]
    26. set_property PACKAGE_PIN J15 [get_ports {RGMII_0_td[3]}]
    27. set_property PACKAGE_PIN G14 [get_ports RGMII_0_tx_ctl]
    28. set_property PACKAGE_PIN R17 [get_ports MDIO_PHY_0_mdc]
    复制代码



    HDMI TX接口
    核心板HDMI/DVI TX接口,可以实现HDMI图像的输出,该接口管脚连接在PL侧,可以用FPGA逻辑驱动,具体管脚信息为:

    1. #HDMI1 板载HDMI接口1(*注意,TMDS电平标准下,仅需约束P端管脚,N端无需,也不能手动指定约束)
    2. set_property PACKAGE_PIN N18 [get_ports hdmi1_clk_p]
    3. set_property IOSTANDARD TMDS_33 [get_ports hdmi1_clk_p]
    4. #set_property PACKAGE_PIN P19 [get_ports hdmi1_clk_n]
    5. #set_property IOSTANDARD TMDS_33 [get_ports hdmi1_clk_n]
    6. set_property PACKAGE_PIN V20 [get_ports {hdmi1_dat_p[0]}]
    7. set_property IOSTANDARD TMDS_33 [get_ports {hdmi1_dat_p[0]}]
    8. #set_property PACKAGE_PIN W20 [get_ports {hdmi1_dat_n[0]}]
    9. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi1_dat_n[0]}]
    10. set_property PACKAGE_PIN T20 [get_ports {hdmi1_dat_p[1]}]
    11. set_property IOSTANDARD TMDS_33 [get_ports {hdmi1_dat_p[1]}]
    12. #set_property PACKAGE_PIN U20 [get_ports {hdmi1_dat_n[1]}]
    13. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi1_dat_n[1]}]
    14. set_property PACKAGE_PIN N20 [get_ports {hdmi1_dat_p[2]}]
    15. set_property IOSTANDARD TMDS_33 [get_ports {hdmi1_dat_p[2]}]
    16. #set_property PACKAGE_PIN P20 [get_ports {hdmi1_dat_n[2]}]
    17. #set_property IOSTANDARD TMDS_33 [get_ports {hdmi1_dat_n[2]}]
    复制代码


    LCD接口
    核心板上引出了一个LCD的FPC排线接口,该接口连接到了芯片的Bank13上,但是由于7010芯片没有Bank13,只有7020芯片有,所以7010版本无法使用LCD,仅7020版本可以使用该接口。
    以下为LCD接口的管脚约束信息。

    1. #5/4.3寸TFT屏模块(使用扩展口GPIO2)
    2. set_property PACKAGE_PIN U8 [get_ports TFT_clk]
    3. set_property IOSTANDARD LVCMOS33 [get_ports TFT_clk]

    4. set_property PACKAGE_PIN T5 [get_ports TFT_de]
    5. set_property IOSTANDARD LVCMOS33 [get_ports TFT_de]

    6. set_property PACKAGE_PIN U5 [get_ports TFT_pwm]
    7. set_property IOSTANDARD LVCMOS33 [get_ports TFT_pwm]

    8. set_property PACKAGE_PIN Y7 [get_ports TFT_hs]
    9. set_property IOSTANDARD LVCMOS33 [get_ports TFT_hs]

    10. set_property PACKAGE_PIN Y6 [get_ports TFT_vs]
    11. set_property IOSTANDARD LVCMOS33 [get_ports TFT_vs]

    12. set_property PACKAGE_PIN Y13  [get_ports {TFT_rgb[0]}]
    13. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[0]}]

    14. set_property PACKAGE_PIN Y12  [get_ports {TFT_rgb[1]}]
    15. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[1]}]

    16. set_property PACKAGE_PIN Y11 [get_ports {TFT_rgb[2]}]
    17. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[2]}]

    18. set_property PACKAGE_PIN W11 [get_ports {TFT_rgb[3]}]
    19. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[3]}]

    20. set_property PACKAGE_PIN U10 [get_ports {TFT_rgb[4]}]
    21. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[4]}]

    22. set_property PACKAGE_PIN W10  [get_ports {TFT_rgb[5]}]
    23. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[5]}]

    24. set_property PACKAGE_PIN W9  [get_ports {TFT_rgb[6]}]
    25. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[6]}]

    26. set_property PACKAGE_PIN V11  [get_ports {TFT_rgb[7]}]
    27. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[7]}]

    28. set_property PACKAGE_PIN V10  [get_ports {TFT_rgb[8]}]
    29. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[8]}]

    30. set_property PACKAGE_PIN U9  [get_ports {TFT_rgb[9]}]
    31. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[9]}]

    32. set_property PACKAGE_PIN W8  [get_ports {TFT_rgb[10]}]
    33. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[10]}]

    34. set_property PACKAGE_PIN Y9  [get_ports {TFT_rgb[11]}]
    35. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[11]}]

    36. set_property PACKAGE_PIN Y8  [get_ports {TFT_rgb[12]}]
    37. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[12]}]

    38. set_property PACKAGE_PIN V8  [get_ports {TFT_rgb[13]}]
    39. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[13]}]

    40. set_property PACKAGE_PIN V7  [get_ports {TFT_rgb[14]}]
    41. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[14]}]

    42. set_property PACKAGE_PIN U7  [get_ports {TFT_rgb[15]}]
    43. set_property IOSTANDARD LVCMOS33 [get_ports {TFT_rgb[15]}]
    复制代码




    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-5-3 08:01 , Processed in 0.116251 second(s), 35 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表