芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 2749|回复: 2

【ACX750】基于Artix-7 FPGA的PCIE开发板客户资料【底板+核心板】

[复制链接]
  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 423

    主题

    782

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15857
    QQ
    发表于 2023-12-19 21:09:28 | 显示全部楼层 |阅读模式
    ACX750型带高速接口FPGA开发板资料:

    链接:https://pan.baidu.com/s/1u_tjckeIg34SNFk21scL8A?pwd=apft


    核心板和底板原理图

    核心板原理图:
    ACX750-CORE.pdf (279.94 KB, 下载次数: 309)

    底板原理图   :
    ACX750-CB.pdf (257.05 KB, 下载次数: 229)
    ACX750-CB-1227-1733.DSN (1.4 MB, 下载次数: 65)

    AD、Cadence、立创EDA格式的核心板的封装库,供客户设计自己的底板用:
    ACX750-Lib.zip (1.06 MB, 下载次数: 173)

    管脚约束文件:
    ACX750_CB_PIN.xdc (14.7 KB, 下载次数: 98)

    管脚信息表:
    ACX750开发板网络表-20240106xlsx.xlsx (34.23 KB, 下载次数: 117)

    DDR3引脚约束文件,用户生成MIG时导入使用:
    acx750_core_ddr3_pin.ucf (14.56 KB, 下载次数: 95)


    例程源码
    流水灯:
             acx750_led_run.zip (549.13 KB, 下载次数: 89)
    NEC协议红外遥控解码:
             acx750_ht6221_ok.rar (59.5 KB, 下载次数: 69)
    蜂鸣器播放音乐:
             acx750_music_gen_ok.rar (26.16 KB, 下载次数: 60)
    UART串口回环通信:
             acx750_uart_loopback_ok.rar (23.05 KB, 下载次数: 84)
    数码管动态扫描显示:
             acx750_hex8_hc595_ok.rar (83.56 KB, 下载次数: 65)
    基于串口校时的数字钟:
             acx750_uart_rtc8563_hex8_ok.rar (41.09 KB, 下载次数: 64)
    HDMI色块显示:
             acx750_hdmi_colour_bar_ok.rar (72.94 KB, 下载次数: 60)
    以太网UDP回环通信:
             acx750_eth_udp_loopback_rgmii_ok.rar (101.8 KB, 下载次数: 80)
    基于AD9767模块的DDS信号发生器:
             acx750_DDS_AD9767_ok.rar (203.28 KB, 下载次数: 75)
    基于外置ADC模块的电压测量:
             acx750_ADC128S102_ok.rar (15.4 KB, 下载次数: 74)
    OV5640图像采集DDR3存储TFT显示:
             acx750_35t_ov5640_ddr3_tft.rar (2.35 MB, 下载次数: 108)
             acx750_100t_ov5640_ddr3_tft.rar (2.1 MB, 下载次数: 78)
             acx750_200t_ov5640_ddr3_tft.rar (2.1 MB, 下载次数: 77)

    核心板/开发板使用常见问题FAQ(本帖二楼)

    开发板引脚信息约束(本帖三楼)
    回复

    使用道具 举报

  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 423

    主题

    782

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15857
    QQ
     楼主| 发表于 2024-1-6 21:59:15 | 显示全部楼层
    使用常见问题答疑FAQ


    FPGA型号
    35T:XC7A35TFGG484-2
    100T:XC7A100TFGG484-2
    200T:XC7A200TFBG484-2(注意,有一个字符与前两个不同,200T的这个是FBG,前两个是FGG)

    DDR3型号
    DDR3实际使用型号:F60C1A0004-M79W(江波龙,单颗512MB,工业级)
    MIG配置时兼容型号:MT41K256M16 RE-125,位宽为32位(单颗是16位,核心板使用2颗,组成32位)

    QSPI FLASH型号
    MX25L12845(旺宏)128Mbit

    板对板连接器规格
    P1和P2:DF12NA(3.0)-80DS-0.5V(51)    80P/公座/HRS广濑
    P3和P4:DF12NA(3.0)-80DP-0.5V(51)    80P/母座/HRS广濑
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    慵懒
    2021-2-24 10:16
  • 423

    主题

    782

    帖子

    1万

    积分

    管理员

    Rank: 9Rank: 9Rank: 9

    积分
    15857
    QQ
     楼主| 发表于 2024-1-6 22:18:46 | 显示全部楼层
    FPGA有源晶振输入,50MHz

    1. #FPGA有源晶振输入,50MHz
    2. set_property PACKAGE_PIN W19 [get_ports FPGA_CLK]
    复制代码


    用户LED,高电平点亮

    1. #用户LED,高电平点亮
    2. set_property PACKAGE_PIN U22 [get_ports LED[0]]
    3. set_property PACKAGE_PIN V22 [get_ports LED[1]]
    4. set_property PACKAGE_PIN W21 [get_ports LED[2]]
    5. set_property PACKAGE_PIN W22 [get_ports LED[3]]
    6. set_property PACKAGE_PIN Y21 [get_ports LED[4]]
    7. set_property PACKAGE_PIN Y22 [get_ports LED[5]]
    8. set_property PACKAGE_PIN N13 [get_ports LED[6]]
    9. set_property PACKAGE_PIN N17 [get_ports LED[7]]
    10. set_property PACKAGE_PIN P17 [get_ports LED[8]]
    复制代码


    轻触按键,按下为低电平

    1. #轻触按键,按下为低电平
    2. set_property PACKAGE_PIN D21 [get_ports S[0]]
    3. set_property PACKAGE_PIN E21 [get_ports S[1]]
    4. set_property PACKAGE_PIN G22 [get_ports S[2]]
    5. set_property PACKAGE_PIN G21 [get_ports S[3]]
    6. set_property PACKAGE_PIN B22 [get_ports S[4]]
    复制代码


    8位拨码开关

    1. #8位拨码开关
    2. set_property PACKAGE_PIN C20 [get_ports SW[7]]
    3. set_property PACKAGE_PIN D20 [get_ports SW[6]]
    4. set_property PACKAGE_PIN F21 [get_ports SW[5]]
    5. set_property PACKAGE_PIN F15 [get_ports SW[4]]
    6. set_property PACKAGE_PIN D22 [get_ports SW[3]]
    7. set_property PACKAGE_PIN E22 [get_ports SW[2]]
    8. set_property PACKAGE_PIN C22 [get_ports SW[1]]
    9. set_property PACKAGE_PIN B21 [get_ports SW[0]]
    复制代码


    UART串口

    1. #UART串口
    2. set_property PACKAGE_PIN L21 [get_ports UART_RXD]
    3. set_property PACKAGE_PIN M21 [get_ports UART_TXD]
    复制代码


    数码管,基于74HC595的三线制8位8段数码管

    1. #基于74HC595的三线制8位8段数码管
    2. set_property PACKAGE_PIN U20 [get_ports SEG7_DIO]
    3. set_property PACKAGE_PIN V20 [get_ports SEG7_RCLK]
    4. set_property PACKAGE_PIN T21 [get_ports SEG7_SCLK]
    复制代码


    I2C,EEPROM(24LC64);RTC(PCF8563

    1. #I2C,EEPROM(24LC64);RTC(PCF8563)
    2. set_property PACKAGE_PIN T18 [get_ports IIC_SCL]
    3. set_property PACKAGE_PIN P16 [get_ports IIC_SDA]
    复制代码


    无源蜂鸣器

    1. #无源蜂鸣器
    2. set_property PACKAGE_PIN V17 [get_ports BEEP]
    复制代码


    红外遥控接收

    1. #红外遥控接收
    2. set_property PACKAGE_PIN A21 [get_ports IRIN]
    复制代码


    QSPI FLASH;MX25L12845

    1. #QSPI FLASH;MX25L12845
    2. set_property PACKAGE_PIN T19 [get_ports QSPI_CS]
    3. set_property PACKAGE_PIN P22 [get_ports QSPI_DQ[0]]
    4. set_property PACKAGE_PIN R22 [get_ports QSPI_DQ[1]]
    5. set_property PACKAGE_PIN P21 [get_ports QSPI_DQ[2]]
    6. set_property PACKAGE_PIN R21 [get_ports QSPI_DQ[3]]
    7. set_property PACKAGE_PIN L12 [get_ports QSPI_SCK]
    复制代码


    JTAG 接口

    1. #JTAG 接口
    2. set_property PACKAGE_PIN V12 [get_ports TCK]
    3. set_property PACKAGE_PIN R13 [get_ports TDI]
    4. set_property PACKAGE_PIN U13 [get_ports TDO]
    5. set_property PACKAGE_PIN T13 [get_ports TMS]
    复制代码


    散热风扇控制

    1. #散热风扇控制
    2. set_property PACKAGE_PIN N14 [get_ports FAN_PWM]
    复制代码


    PCIE

    1. #PCIE
    2. set_property PACKAGE_PIN V19 [get_ports PCIE_RST]
    3. #PCIE_CLK_N --> MGT_CLK0_N
    4. #PCIE_CLK_P --> MGT_CLK0_P
    5. #PCIE_RX_N --> MGT_RX2_N
    6. #PCIE_RX_P --> MGT_RX2_P
    7. #PCIE_TX_N --> MGT_TX2_N
    8. #PCIE_TX_P --> MGT_TX2_P
    复制代码


    收发器(SFP)专用125MHz差分时钟

    1. #收发器(SFP)专用125MHz差分时钟
    2. #DIFCLK125M_N --> MGT_CLK1_N
    3. #DIFCLK125M_N --> MGT_CLK1_P
    复制代码


    光纤接口SFP1

    1. #光纤接口SFP1
    2. set_property PACKAGE_PIN R17 [get_ports SFP1_TX_DIS]
    3. set_property PACKAGE_PIN P15 [get_ports SFP1_TX_LOS]
    4. #SFP1_RX_N --> MGT_RX0_N
    5. #SFP1_RX_P --> MGT_RX0_P
    6. #SFP1_TX_N --> MGT_TX0_N
    7. #SFP1_TX_P --> MGT_TX0_P
    复制代码


    光纤接口SFP2

    1. #光纤接口SFP2
    2. set_property PACKAGE_PIN V18 [get_ports SFP2_TX_DIS]
    3. set_property PACKAGE_PIN W17 [get_ports SFP2_TX_LOS]
    4. #SFP2_RX_N --> MGT_RX1_N
    5. #SFP2_RX_P --> MGT_RX1_P
    6. #SFP2_TX_N --> MGT_TX1_N
    7. #SFP2_TX_P --> MGT_TX1_P
    复制代码


    未使用的GTP Lane

    1. #MGT_RX3_N        MGT_RX3_N
    2. #MGT_RX3_P        MGT_RX3_P
    3. #MGT_TX3_N        MGT_TX3_N
    4. #MGT_TX3_P        MGT_TX3_P
    复制代码


    千兆以太网,RGMII

    1. #千兆以太网,RGMII
    2. set_property PACKAGE_PIN AB21 [get_ports ENET1_GTX_CLK]
    3. set_property PACKAGE_PIN R16 [get_ports ENET1_INT_N]
    4. set_property PACKAGE_PIN R14 [get_ports ENET1_MDC]
    5. set_property PACKAGE_PIN U21 [get_ports ENET1_MDIO]
    6. set_property PACKAGE_PIN P14 [get_ports ENET1_RESET]
    7. set_property PACKAGE_PIN Y18 [get_ports ENET1_RX_CLK]
    8. set_property PACKAGE_PIN P20 [get_ports ENET1_RX_DATA[0]]
    9. set_property PACKAGE_PIN N15 [get_ports ENET1_RX_DATA[1]]
    10. set_property PACKAGE_PIN AA18 [get_ports ENET1_RX_DATA[2]]
    11. set_property PACKAGE_PIN AB18 [get_ports ENET1_RX_DATA[3]]
    12. set_property PACKAGE_PIN T20 [get_ports ENET1_RX_DV]
    13. set_property PACKAGE_PIN AB20 [get_ports ENET1_TX_DATA[0]]
    14. set_property PACKAGE_PIN Y19 [get_ports ENET1_TX_DATA[1]]
    15. set_property PACKAGE_PIN AB22 [get_ports ENET1_TX_DATA[2]]
    16. set_property PACKAGE_PIN W20 [get_ports ENET1_TX_DATA[3]]
    17. set_property PACKAGE_PIN AA19 [get_ports ENET1_TX_EN]
    复制代码


    HDMI TX 高清显示接口

    1. #HDMI TX 高清显示接口
    2. set_property PACKAGE_PIN H19 [get_ports HDMI_CLK_N]
    3. set_property PACKAGE_PIN J19 [get_ports HDMI_CLK_P]
    4. set_property PACKAGE_PIN K22 [get_ports HDMI_D0_N]
    5. set_property PACKAGE_PIN K21 [get_ports HDMI_D0_P]
    6. set_property PACKAGE_PIN J21 [get_ports HDMI_D1_N]
    7. set_property PACKAGE_PIN J20 [get_ports HDMI_D1_P]
    8. set_property PACKAGE_PIN H22 [get_ports HDMI_D2_N]
    9. set_property PACKAGE_PIN J22 [get_ports HDMI_D2_P]
    10. set_property PACKAGE_PIN N22 [get_ports HDMI_HPD]
    11. set_property PACKAGE_PIN M22 [get_ports HDMI_OE]
    12. set_property PACKAGE_PIN L20 [get_ports HDMI_SCL]
    13. set_property PACKAGE_PIN L19 [get_ports HDMI_SDA]
    复制代码


    RGB TFT显示屏,RGB565

    1. #RGB TFT显示屏,RGB565
    2. set_property PACKAGE_PIN G16 [get_ports LCD_B[0]]
    3. set_property PACKAGE_PIN G15 [get_ports LCD_B[1]]
    4. set_property PACKAGE_PIN G13 [get_ports LCD_B[2]]
    5. set_property PACKAGE_PIN H13 [get_ports LCD_B[3]]
    6. set_property PACKAGE_PIN H15 [get_ports LCD_B[4]]
    7. set_property PACKAGE_PIN K13 [get_ports LCD_BL]
    8. set_property PACKAGE_PIN K14 [get_ports LCD_DE]
    9. set_property PACKAGE_PIN G20 [get_ports LCD_G[0]]
    10. set_property PACKAGE_PIN H18 [get_ports LCD_G[1]]
    11. set_property PACKAGE_PIN H20 [get_ports LCD_G[2]]
    12. set_property PACKAGE_PIN H17 [get_ports LCD_G[3]]
    13. set_property PACKAGE_PIN J17 [get_ports LCD_G[4]]
    14. set_property PACKAGE_PIN K17 [get_ports LCD_G[5]]
    15. set_property PACKAGE_PIN H14 [get_ports LCD_HSYNC]
    16. set_property PACKAGE_PIN J15 [get_ports LCD_PCLK]
    17. set_property PACKAGE_PIN R18 [get_ports LCD_R[0]]
    18. set_property PACKAGE_PIN G18 [get_ports LCD_R[1]]
    19. set_property PACKAGE_PIN R19 [get_ports LCD_R[2]]
    20. set_property PACKAGE_PIN G17 [get_ports LCD_R[3]]
    21. set_property PACKAGE_PIN P19 [get_ports LCD_R[4]]
    22. set_property PACKAGE_PIN J14 [get_ports LCD_VSYNC]
    复制代码


    1. #RGB TFT显示屏,16位色,分RGB565
    2. set_property PACKAGE_PIN G16 [get_ports TFT_rgb[0]]
    3. set_property PACKAGE_PIN G15 [get_ports TFT_rgb[1]]
    4. set_property PACKAGE_PIN G13 [get_ports TFT_rgb[2]]
    5. set_property PACKAGE_PIN H13 [get_ports TFT_rgb[3]]
    6. set_property PACKAGE_PIN H15 [get_ports TFT_rgb[4]]
    7. set_property PACKAGE_PIN K13 [get_ports TFT_pwm]
    8. set_property PACKAGE_PIN K14 [get_ports TFT_de]
    9. set_property PACKAGE_PIN G20 [get_ports TFT_rgb[5]]
    10. set_property PACKAGE_PIN H18 [get_ports TFT_rgb[6]]
    11. set_property PACKAGE_PIN H20 [get_ports TFT_rgb[7]]
    12. set_property PACKAGE_PIN H17 [get_ports TFT_rgb[8]]
    13. set_property PACKAGE_PIN J17 [get_ports TFT_rgb[9]]
    14. set_property PACKAGE_PIN K17 [get_ports TFT_rgb[10]]
    15. set_property PACKAGE_PIN H14 [get_ports TFT_hs]
    16. set_property PACKAGE_PIN J15 [get_ports TFT_clk]
    17. set_property PACKAGE_PIN R18 [get_ports TFT_rgb[11]]
    18. set_property PACKAGE_PIN G18 [get_ports TFT_rgb[12]]
    19. set_property PACKAGE_PIN R19 [get_ports TFT_rgb[13]]
    20. set_property PACKAGE_PIN G17 [get_ports TFT_rgb[14]]
    21. set_property PACKAGE_PIN P19 [get_ports TFT_rgb[15]]
    22. set_property PACKAGE_PIN J14 [get_ports TFT_vs]

    23. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[0]]
    24. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[1]]
    25. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[2]]
    26. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[3]]
    27. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[4]]
    28. set_property IOSTANDARD LVCMOS33 [get_ports TFT_pwm]
    29. set_property IOSTANDARD LVCMOS33 [get_ports TFT_de]
    30. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[5]]
    31. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[6]]
    32. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[7]]
    33. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[8]]
    34. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[9]]
    35. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[10]]
    36. set_property IOSTANDARD LVCMOS33 [get_ports TFT_hs]
    37. set_property IOSTANDARD LVCMOS33 [get_ports TFT_clk]
    38. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[11]]
    39. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[12]]
    40. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[13]]
    41. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[14]]
    42. set_property IOSTANDARD LVCMOS33 [get_ports TFT_rgb[15]]
    43. set_property IOSTANDARD LVCMOS33 [get_ports TFT_vs]
    复制代码


    电容触摸屏

    1. #电容触摸屏
    2. set_property PACKAGE_PIN M13 [get_ports TP_INT]
    3. set_property PACKAGE_PIN L15 [get_ports TP_RST]
    4. set_property PACKAGE_PIN L13 [get_ports TP_SCL]
    5. set_property PACKAGE_PIN L14 [get_ports TP_SDA]
    复制代码


    4线SPI引出接口

    1. #4线SPI引出接口
    2. set_property PACKAGE_PIN AA21 [get_ports SPI_CS]
    3. set_property PACKAGE_PIN U17 [get_ports SPI_MISO]
    4. set_property PACKAGE_PIN U18 [get_ports SPI_MOSI]
    5. set_property PACKAGE_PIN AA20 [get_ports SPI_SCLK]
    复制代码


    CMOS摄像头接口 DVP

    1. #CMOS摄像头接口 DVP
    2. set_property PACKAGE_PIN M20 [get_ports camera_data[0]]
    3. set_property PACKAGE_PIN N20 [get_ports camera_data[1]]
    4. set_property PACKAGE_PIN K19 [get_ports camera_data[2]]
    5. set_property PACKAGE_PIN K16 [get_ports camera_data[3]]
    6. set_property PACKAGE_PIN L16 [get_ports camera_data[4]]
    7. set_property PACKAGE_PIN L18 [get_ports camera_data[5]]
    8. set_property PACKAGE_PIN M18 [get_ports camera_data[6]]
    9. set_property PACKAGE_PIN M16 [get_ports camera_data[7]]
    10. set_property PACKAGE_PIN N18 [get_ports camera_href]
    11. set_property PACKAGE_PIN K18 [get_ports camera_pclk]
    12. set_property PACKAGE_PIN J16 [get_ports camera_sclk]
    13. set_property PACKAGE_PIN M17 [get_ports camera_sdat]
    14. set_property PACKAGE_PIN N19 [get_ports camera_vsync]
    15. set_property PACKAGE_PIN M15 [get_ports camera_xclk]
    16. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[0]}]
    17. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[1]}]
    18. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[2]}]
    19. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[3]}]
    20. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[4]}]
    21. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[5]}]
    22. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[6]}]
    23. set_property IOSTANDARD LVCMOS33 [get_ports {camera_data[7]}]
    24. set_property IOSTANDARD LVCMOS33 [get_ports camera_xclk]
    25. set_property IOSTANDARD LVCMOS33 [get_ports camera_pclk]
    26. set_property IOSTANDARD LVCMOS33 [get_ports camera_href]
    27. set_property IOSTANDARD LVCMOS33 [get_ports camera_vsync]
    28. set_property IOSTANDARD LVCMOS33 [get_ports camera_sdat]
    29. set_property IOSTANDARD LVCMOS33 [get_ports camera_sclk]
    30. set_property PULLUP true [get_ports camera_sdat]
    31. set_property PULLUP true [get_ports camera_sclk]

    32. set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets camera_pclk_IBUF]
    复制代码


    40针通用扩展接口GPIO0

    1. #40针通用扩展接口GPIO0
    2. set_property PACKAGE_PIN C18 [get_ports GPIO0[0 ]]
    3. set_property PACKAGE_PIN C19 [get_ports GPIO0[1 ]]
    4. set_property PACKAGE_PIN E19 [get_ports GPIO0[2 ]]
    5. set_property PACKAGE_PIN D19 [get_ports GPIO0[3 ]]
    6. set_property PACKAGE_PIN F19 [get_ports GPIO0[4 ]]
    7. set_property PACKAGE_PIN F20 [get_ports GPIO0[5 ]]
    8. set_property PACKAGE_PIN F18 [get_ports GPIO0[6 ]]
    9. set_property PACKAGE_PIN E18 [get_ports GPIO0[7 ]]
    10. set_property PACKAGE_PIN E16 [get_ports GPIO0[8 ]]
    11. set_property PACKAGE_PIN D16 [get_ports GPIO0[9 ]]
    12. set_property PACKAGE_PIN F16 [get_ports GPIO0[10]]
    13. set_property PACKAGE_PIN E17 [get_ports GPIO0[11]]
    14. set_property PACKAGE_PIN D14 [get_ports GPIO0[12]]
    15. set_property PACKAGE_PIN D15 [get_ports GPIO0[13]]
    16. set_property PACKAGE_PIN F13 [get_ports GPIO0[14]]
    17. set_property PACKAGE_PIN F14 [get_ports GPIO0[15]]
    18. set_property PACKAGE_PIN B20 [get_ports GPIO0[16]]
    19. set_property PACKAGE_PIN A20 [get_ports GPIO0[17]]
    20. set_property PACKAGE_PIN B17 [get_ports GPIO0[18]]
    21. set_property PACKAGE_PIN B18 [get_ports GPIO0[19]]
    22. set_property PACKAGE_PIN D17 [get_ports GPIO0[20]]
    23. set_property PACKAGE_PIN C17 [get_ports GPIO0[21]]
    24. set_property PACKAGE_PIN B15 [get_ports GPIO0[22]]
    25. set_property PACKAGE_PIN B16 [get_ports GPIO0[23]]
    26. set_property PACKAGE_PIN A18 [get_ports GPIO0[24]]
    27. set_property PACKAGE_PIN A19 [get_ports GPIO0[25]]
    28. set_property PACKAGE_PIN C14 [get_ports GPIO0[26]]
    29. set_property PACKAGE_PIN C15 [get_ports GPIO0[27]]
    30. set_property PACKAGE_PIN E13 [get_ports GPIO0[28]]
    31. set_property PACKAGE_PIN E14 [get_ports GPIO0[29]]
    32. set_property PACKAGE_PIN C13 [get_ports GPIO0[30]]
    33. set_property PACKAGE_PIN B13 [get_ports GPIO0[31]]
    34. set_property PACKAGE_PIN A13 [get_ports GPIO0[32]]
    35. set_property PACKAGE_PIN A14 [get_ports GPIO0[33]]
    36. set_property PACKAGE_PIN A15 [get_ports GPIO0[34]]
    37. set_property PACKAGE_PIN A16 [get_ports GPIO0[35]]
    复制代码


    DDR3

    1. #DDR3
    2. set_property PACKAGE_PIN Y2 [get_ports DDR3_A[0]]
    3. set_property PACKAGE_PIN AB3 [get_ports DDR3_A[1]]
    4. set_property PACKAGE_PIN Y1 [get_ports DDR3_A[2]]
    5. set_property PACKAGE_PIN U1 [get_ports DDR3_A[3]]
    6. set_property PACKAGE_PIN AA4 [get_ports DDR3_A[4]]
    7. set_property PACKAGE_PIN W1 [get_ports DDR3_A[5]]
    8. set_property PACKAGE_PIN AB5 [get_ports DDR3_A[6]]
    9. set_property PACKAGE_PIN R2 [get_ports DDR3_A[7]]
    10. set_property PACKAGE_PIN AB2 [get_ports DDR3_A[8]]
    11. set_property PACKAGE_PIN W2 [get_ports DDR3_A[9]]
    12. set_property PACKAGE_PIN Y3 [get_ports DDR3_A[10]]
    13. set_property PACKAGE_PIN AA1 [get_ports DDR3_A[11]]
    14. set_property PACKAGE_PIN AA3 [get_ports DDR3_A[12]]
    15. set_property PACKAGE_PIN U2 [get_ports DDR3_A[13]]
    16. set_property PACKAGE_PIN AB1 [get_ports DDR3_A[14]]
    17. set_property PACKAGE_PIN R3 [get_ports DDR3_BA[0]]
    18. set_property PACKAGE_PIN Y4 [get_ports DDR3_BA[1]]
    19. set_property PACKAGE_PIN V2 [get_ports DDR3_BA[2]]
    20. set_property PACKAGE_PIN W6 [get_ports DDR3_CAS]
    21. set_property PACKAGE_PIN W4 [get_ports DDR3_CK_N]
    22. set_property PACKAGE_PIN V4 [get_ports DDR3_CK_P]
    23. set_property PACKAGE_PIN AA5 [get_ports DDR3_CKE0]
    24. set_property PACKAGE_PIN U5 [get_ports DDR3_CS]
    25. set_property PACKAGE_PIN F3 [get_ports DDR3_DM[0]]
    26. set_property PACKAGE_PIN H3 [get_ports DDR3_DM[1]]
    27. set_property PACKAGE_PIN M3 [get_ports DDR3_DM[2]]
    28. set_property PACKAGE_PIN N2 [get_ports DDR3_DM[3]]
    29. set_property PACKAGE_PIN C2 [get_ports DDR3_DQ[0]]
    30. set_property PACKAGE_PIN E2 [get_ports DDR3_DQ[1]]
    31. set_property PACKAGE_PIN B2 [get_ports DDR3_DQ[2]]
    32. set_property PACKAGE_PIN G1 [get_ports DDR3_DQ[3]]
    33. set_property PACKAGE_PIN B1 [get_ports DDR3_DQ[4]]
    34. set_property PACKAGE_PIN F1 [get_ports DDR3_DQ[5]]
    35. set_property PACKAGE_PIN A1 [get_ports DDR3_DQ[6]]
    36. set_property PACKAGE_PIN D2 [get_ports DDR3_DQ[7]]
    37. set_property PACKAGE_PIN H2 [get_ports DDR3_DQ[8]]
    38. set_property PACKAGE_PIN G3 [get_ports DDR3_DQ[9]]
    39. set_property PACKAGE_PIN H4 [get_ports DDR3_DQ[10]]
    40. set_property PACKAGE_PIN G2 [get_ports DDR3_DQ[11]]
    41. set_property PACKAGE_PIN H5 [get_ports DDR3_DQ[12]]
    42. set_property PACKAGE_PIN J1 [get_ports DDR3_DQ[13]]
    43. set_property PACKAGE_PIN J5 [get_ports DDR3_DQ[14]]
    44. set_property PACKAGE_PIN K1 [get_ports DDR3_DQ[15]]
    45. set_property PACKAGE_PIN K6 [get_ports DDR3_DQ[16]]
    46. set_property PACKAGE_PIN L5 [get_ports DDR3_DQ[17]]
    47. set_property PACKAGE_PIN J6 [get_ports DDR3_DQ[18]]
    48. set_property PACKAGE_PIN L4 [get_ports DDR3_DQ[19]]
    49. set_property PACKAGE_PIN K3 [get_ports DDR3_DQ[20]]
    50. set_property PACKAGE_PIN L3 [get_ports DDR3_DQ[21]]
    51. set_property PACKAGE_PIN J4 [get_ports DDR3_DQ[22]]
    52. set_property PACKAGE_PIN M2 [get_ports DDR3_DQ[23]]
    53. set_property PACKAGE_PIN P1 [get_ports DDR3_DQ[24]]
    54. set_property PACKAGE_PIN N5 [get_ports DDR3_DQ[25]]
    55. set_property PACKAGE_PIN P2 [get_ports DDR3_DQ[26]]
    56. set_property PACKAGE_PIN M5 [get_ports DDR3_DQ[27]]
    57. set_property PACKAGE_PIN P6 [get_ports DDR3_DQ[28]]
    58. set_property PACKAGE_PIN M6 [get_ports DDR3_DQ[29]]
    59. set_property PACKAGE_PIN R1 [get_ports DDR3_DQ[30]]
    60. set_property PACKAGE_PIN N4 [get_ports DDR3_DQ[31]]
    61. set_property PACKAGE_PIN D1 [get_ports DDR3_DQS0_N]
    62. set_property PACKAGE_PIN E1 [get_ports DDR3_DQS0_P]
    63. set_property PACKAGE_PIN J2 [get_ports DDR3_DQS1_N]
    64. set_property PACKAGE_PIN K2 [get_ports DDR3_DQS1_P]
    65. set_property PACKAGE_PIN L1 [get_ports DDR3_DQS2_N]
    66. set_property PACKAGE_PIN M1 [get_ports DDR3_DQS2_P]
    67. set_property PACKAGE_PIN P4 [get_ports DDR3_DQS3_N]
    68. set_property PACKAGE_PIN P5 [get_ports DDR3_DQS3_P]
    69. set_property PACKAGE_PIN T5 [get_ports DDR3_ODT]
    70. set_property PACKAGE_PIN U3 [get_ports DDR3_RAS]
    71. set_property PACKAGE_PIN T1 [get_ports DDR3_RESET]
    72. set_property PACKAGE_PIN V3 [get_ports DDR3_WE]
    复制代码


    BANK13信号【未使用】

    1. #BANK13上的信号,仅存在于100T和200T版本芯片中,故开发板底板上未使用这些脚
    2. set_property PACKAGE_PIN Y17 [get_ports B13_IO0]
    3. set_property PACKAGE_PIN AA16 [get_ports B13_L1_N]
    4. set_property PACKAGE_PIN Y16 [get_ports B13_L1_P]
    5. set_property PACKAGE_PIN W10 [get_ports B13_L10_N]
    6. set_property PACKAGE_PIN V10 [get_ports B13_L10_P]
    7. set_property PACKAGE_PIN Y12 [get_ports B13_L11_N]
    8. set_property PACKAGE_PIN Y11 [get_ports B13_L11_P]
    9. set_property PACKAGE_PIN W12 [get_ports B13_L12_N]
    10. set_property PACKAGE_PIN W11 [get_ports B13_L12_P]
    11. set_property PACKAGE_PIN V14 [get_ports B13_L13_N]
    12. set_property PACKAGE_PIN V13 [get_ports B13_L13_P]
    13. set_property PACKAGE_PIN V15 [get_ports B13_L14_N]
    14. set_property PACKAGE_PIN U15 [get_ports B13_L14_P]
    15. set_property PACKAGE_PIN T15 [get_ports B13_L15_N]
    16. set_property PACKAGE_PIN T14 [get_ports B13_L15_P]
    17. set_property PACKAGE_PIN W16 [get_ports B13_L16_N]
    18. set_property PACKAGE_PIN W15 [get_ports B13_L16_P]
    19. set_property PACKAGE_PIN U16 [get_ports B13_L17_N]
    20. set_property PACKAGE_PIN T16 [get_ports B13_L17_P]
    21. set_property PACKAGE_PIN AB17 [get_ports B13_L2_N]
    22. set_property PACKAGE_PIN AB16 [get_ports B13_L2_P]
    23. set_property PACKAGE_PIN AB13 [get_ports B13_L3_N]
    24. set_property PACKAGE_PIN AA13 [get_ports B13_L3_P]
    25. set_property PACKAGE_PIN AB15 [get_ports B13_L4_N]
    26. set_property PACKAGE_PIN AA15 [get_ports B13_L4_P]
    27. set_property PACKAGE_PIN AA14 [get_ports B13_L5_N]
    28. set_property PACKAGE_PIN Y13 [get_ports B13_L5_P]
    29. set_property PACKAGE_PIN Y14 [get_ports B13_L6_N]
    30. set_property PACKAGE_PIN W14 [get_ports B13_L6_P]
    31. set_property PACKAGE_PIN AB12 [get_ports B13_L7_N]
    32. set_property PACKAGE_PIN AB11 [get_ports B13_L7_P]
    33. set_property PACKAGE_PIN AB10 [get_ports B13_L8_N]
    34. set_property PACKAGE_PIN AA9 [get_ports B13_L8_P]
    35. set_property PACKAGE_PIN AA11 [get_ports B13_L9_N]
    36. set_property PACKAGE_PIN AA10 [get_ports B13_L9_P]
    复制代码


    设置QSPI配置模式和时钟频率

    1. #设置QSPI配置模式和时钟频率
    2. set_property CONFIG_MODE SPIx4 [current_design]
    3. set_property BITSTREAM.CONFIG.CONFIGRATE 33 [current_design]
    复制代码
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-4-27 14:13 , Processed in 0.409868 second(s), 36 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表