芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 289|回复: 2

新人求助,Modelsim仿真报错

[复制链接]

该用户从未签到

1

主题

1

帖子

5

积分

新手入门

Rank: 1

积分
5
发表于 2024-3-22 21:58:53 | 显示全部楼层 |阅读模式
跟着小梅哥视频写LED流水灯,但是Modelsim仿真最后LED灯输出后面一直为1,实在看不出来原因,请求大佬指导
捕获.PNG
捕获2.PNG
捕获1.PNG
回复

使用道具 举报

  • TA的每日心情
    开心
    2024-4-10 18:28
  • 1

    主题

    4

    帖子

    59

    积分

    初级会员

    Rank: 3Rank: 3

    积分
    59
    发表于 2024-3-23 14:13:53 | 显示全部楼层
    第20行条件错了应该是COUNT== MCNT
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2024-4-10 18:28
  • 1

    主题

    4

    帖子

    59

    积分

    初级会员

    Rank: 3Rank: 3

    积分
    59
    发表于 2024-3-23 14:19:23 | 显示全部楼层
    后面条件也都写错了,不能用<=判断,应该用==判断
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

    GMT+8, 2024-4-27 19:17 , Processed in 0.112768 second(s), 35 queries .

    Powered by Discuz! X3.4

    © 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

    快速回复 返回顶部 返回列表