芯路恒电子技术论坛

 找回密码
 立即注册
热搜: 合集
查看: 1238|回复: 0

【Vivado】如何修改Vivado和SDK的工程名称

[复制链接]

该用户从未签到

58

主题

72

帖子

760

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
760
发表于 2023-9-25 11:43:34 | 显示全部楼层 |阅读模式
以下举例,将修改名为“OV5640_LCD”的Vivado工程与SDK工程,新的工程名为“OV5640_LCD_V2_0”,同时包含了如何修改SDK工程指定的BSP项目名称

一、修改Vivado工程名

1.修改xpr文件名与工程子文件夹名为新的工程名“OV5640_LCD_V2_0”

image.png

2.右键将“OV5640_LCD_V2_0.xpr”以文本形式打开,将“OV5640_LCD”修改为“OV5640_LCD_V2_0”

image.png

3.打开OV5640_LCD_V2_0工程,可以看到Vivado工程名称已经修改成功

image.png

二、修改SDK工程名

1.修改文件夹名为OV5640,如果改完之后报错,属于正常现象

image.png

2.右键点击OV5640_V2_0文件夹进入属性界面,进入C/C++ Build中的Setting选项
(1)选择ARM v7 gcc compiler,如果里面有bsp则说明有选项需要修改

image.png

将每个子选项点开看看,寻找到了bsp出现的选项为Directories,修改其中的路径为“OV5640_LCD_V2_0_bsp”
image.png

(2)选择ARM v7 gcc linker,如果里面有bsp则说明有选项需要修改

image.png

将每个子选项点开看看,寻找到了bsp出现的选项为Libraries,修改其中的路径为“OV5640_LCD_V2_0_bsp”

image.png

3.修改C/C++ General下的Paths and Symbols中的Library Paths中的路径为“OV5640_LCD_V2_0_bsp”

image.png

4.在Project References中,取消“OV5640_LCD_bsp”的勾选,然后勾选“OV5640_LCD_V2_0_bsp”

image.png

5.在C/C++ Build中的Refresh Policy里,删掉“OV5640_LCD”,然后点击添加Resource

image.png

6.添加新的“OV5640_LCD_V2_0”

image.png

7.修改头文件路径为“OV5640_LCD_V2_0”

image.png

8.此时工程不再有报错,说明修改成功




回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|小黑屋|Archiver|芯路恒电子技术论坛 |鄂ICP备2021003648号

GMT+8, 2024-4-29 11:28 , Processed in 0.213100 second(s), 34 queries .

Powered by Discuz! X3.4

© 2001-2017 Comsenz Inc. Template By 【未来科技】【 www.wekei.cn 】

快速回复 返回顶部 返回列表